Which term describes a model for growth in the computer industry that states that processor speeds or overall processing power for computers will double every two years?

In the 1960s, Gordon Moore, one of the co-founders of Intel, noticed that integrated circuits were becoming more complex at an exponential rate. He predicted that this growth would continue - that the number of transistors on a circuit would double every two years. This prediction came to be called Moore’s Law. Although Moore was focused on the number of transistors on a chip, others have expanded his idea into a prediction for overall performance increases. The combined benefits of increased complexity and speed in chips have resulted in processing power doubling every 18 months. Thus the term “Moore’s Law” is used to refer to this idea that overall processing power doubles every year and a half.

Based on this idea that processing power doubles every 1.5 years, a processor that comes out today is roughly 4x more powerful than one from 3 years ago and 16x more powerful than one from six years ago. (Which helps explain why computers need to be upgraded so frequently.)

Years

1.5

3

4.5

6

7.5

15

Doublings

1

2

3

4

5

10

Relative Power

x2

x4

x8

x16

x32

x1024

This continuous doubling and redoubling of computing power in chips has held steady for the past 40+ years. To put this kind of growth into perspective: If Moore’s Law applied to the air travel industry, a flight from New York to Paris that took 7 hours and cost $900 in 1978 would now require about 1/10th of a second and cost under a penny.

Which term describes a model for growth in the computer industry that states that processor speeds or overall processing power for computers will double every two years?

Wikimedia Commons - CC-BY-SA-3.0

Transistor count of various processors over the past 40 years. Note that the y-axis is a logarithmic scale - the straight line represents exponential growth.

Unfortunately, the “free ride” of increased power that computer programmers and users have enjoyed has hit some speed bumps. Partly, this is the necessity of squeezing transistors into ever-smaller spaces. Currently, features on chips occupy ~20 nanometers, a span of fewer than 100 atoms, we do not have too much longer before the size of atoms becomes a barrier to making chips smaller.

Equally importantly, making chips run faster requires more power. Power running through a chip results in waste heat that must be dissipated - only so much power can be used (and thus heat generated) before a chip becomes uncontrollably hot. The image below shows the path chip designers were on in the early 2000s… an unsustainable path in terms of how much power draw was being packed into ever-smaller spaces.

Which term describes a model for growth in the computer industry that states that processor speeds or overall processing power for computers will double every two years?

Image from Beauty and Joy of Computing by University of California - CC-BY-SA-3.0

Projected power density growth through the early 2000s. Red dots show the predicted path.

The slowing of Moore’s law has prompted many to ask, “Is Moore’s law dead? This, in fact, is not occurring. While Moore’s law is still delivering exponential improvements, the results are being delivered at a slower pace. The pace of technology innovation is NOT slowing down, however. Rather, the explosion of hyperconnectivity, big data, and artificial intelligence applications has increased the pace of innovation and the need for “Moore’s law-style” improvements in delivered technology.

For many years, scale complexity drove Moore’s law and the semiconductor industry’s exponential technology growth. As the ability to scale a single chip slows, the industry is finding other methods of innovation to maintain exponential growth.

This new design trend is driven by systemic complexity. Some aspects of this new approach to design have been dubbed “more than Moore.” This term refers primarily to 2.5D and 3D integration techniques.

The complete landscape is far bigger and presents the opportunity for higher impact, however. At the 2021 SNUG World conference of worldwide Synopsys Users Group members, the chairman and co-CEO of Synopsys, Aart de Geus, presented a keynote address. In his presentation, de Geus observed that Moore’s law is now blending with new innovations that leverage systemic complexity. He coined the term SysMoore as a shorthand way to describe this new design paradigm.

These trends and resultant terminology are summarized below. The SysMoore era will fuel semiconductor innovation for the foreseeable future. With it comes a wide range of design challenges that must be addressed.

Observation on the growth of integrated circuit capacity

Which term describes a model for growth in the computer industry that states that processor speeds or overall processing power for computers will double every two years?

A semi-log plot of transistor counts for microprocessors against dates of introduction, nearly doubling every two years

Moore's law is the observation that the number of transistors in a dense integrated circuit (IC) doubles about every two years. Moore's law is an observation and projection of a historical trend. Rather than a law of physics, it is an empirical relationship linked to gains from experience in production.

The observation is named after Gordon Moore, the co-founder of Fairchild Semiconductor and Intel (and former CEO of the latter), who in 1965 posited a doubling every year in the number of components per integrated circuit,[a] and projected this rate of growth would continue for at least another decade. In 1975, looking forward to the next decade, he revised the forecast to doubling every two years, a compound annual growth rate (CAGR) of 41%. While Moore did not use empirical evidence in forecasting that the historical trend would continue, his prediction held since 1975 and has since become known as a "law".

Moore's prediction has been used in the semiconductor industry to guide long-term planning and to set targets for research and development, thus functioning to some extent as a self-fulfilling prophecy. Advancements in digital electronics, such as the reduction in quality-adjusted microprocessor prices, the increase in memory capacity (RAM and flash), the improvement of sensors, and even the number and size of pixels in digital cameras, are strongly linked to Moore's law. These ongoing changes in digital electronics have been a driving force of technological and social change, productivity, and economic growth.

Industry experts have not reached a consensus on exactly when Moore's law will cease to apply. Microprocessor architects report that semiconductor advancement has slowed industry-wide since around 2010, slightly below the pace predicted by Moore's law.

History

Which term describes a model for growth in the computer industry that states that processor speeds or overall processing power for computers will double every two years?

Gordon Moore in 2004

In 1959, Douglas Engelbart studied the projected downscaling of integrated circuit (IC) size, publishing his results in the article "Microelectronics, and the Art of Similitude".[2][3][4] Engelbart presented his findings at the 1960 International Solid-State Circuits Conference, where Moore was present in the audience.[5]

In 1965, Gordon Moore, who at the time was working as the director of research and development at Fairchild Semiconductor, was asked to contribute to the thirty-fifth anniversary issue of Electronics magazine with a prediction on the future of the semiconductor components industry over the next ten years. His response was a brief article entitled "Cramming more components onto integrated circuits".[1][6][b] Within his editorial, he speculated that by 1975 it would be possible to contain as many as 65,000 components on a single quarter-square-inch (~1.6 square-centimeter) semiconductor.

The complexity for minimum component costs has increased at a rate of roughly a factor of two per year. Certainly over the short term this rate can be expected to continue, if not to increase. Over the longer term, the rate of increase is a bit more uncertain, although there is no reason to believe it will not remain nearly constant for at least 10 years.[1]

Moore posited a log-linear relationship between device complexity (higher circuit density at reduced cost) and time.[9][10] In a 2015 interview, Moore noted of the 1965 article: "...I just did a wild extrapolation saying it’s going to continue to double every year for the next 10 years."[11] One historian of the law cites Stigler's law of eponymy, to introduce the fact that the regular doubling of components was known to many working in the field.[10]

In 1974, Robert H. Dennard at IBM recognized the rapid MOSFET scaling technology and formulated what became known as Dennard scaling, which describes that as MOS transistors get smaller, their power density stays constant such that the power use remains in proportion with area.[12][13] Evidence from the semiconductor industry shows that this inverse relationship between power density and areal density broke down in the mid-2000s.[14]

At the 1975 IEEE International Electron Devices Meeting, Moore revised his forecast rate,[15][16] predicting semiconductor complexity would continue to double annually until about 1980, after which it would decrease to a rate of doubling approximately every two years.[16][17][18] He outlined several contributing factors for this exponential behavior:[9][10]

  • The advent of metal–oxide–semiconductor (MOS) technology
  • The exponential rate of increase in die sizes, coupled with a decrease in defective densities, with the result that semiconductor manufacturers could work with larger areas without losing reduction yields
  • Finer minimum dimensions
  • What Moore called "circuit and device cleverness"

Shortly after 1975, Caltech professor Carver Mead popularized the term "Moore's law".[19][20] Moore's law eventually came to be widely accepted as a goal for the semiconductor industry, and it was cited by competitive semiconductor manufacturers as they strove to increase processing power. Moore viewed his eponymous law as surprising and optimistic: "Moore's law is a violation of Murphy's law. Everything gets better and better."[21] The observation was even seen as a self-fulfilling prophecy.[22][23]

The doubling period is often misquoted as 18 months because of a prediction by Moore's colleague, Intel executive David House. In 1975, House noted that Moore's revised law of doubling transistor count every 2 years in turn implied that computer chip performance would roughly double every 18 months[24] (with no increase in power consumption).[25] Mathematically, Moore's Law predicted that transistor count would double every 2 years due to shrinking transistor dimensions and other improvements. As a consequence of shrinking dimensions, Dennard scaling predicted that power consumption per unit area would remain constant. Combining these effects, David House deduced that computer chip performance would roughly double every 18 months. Also due to Dennard scaling, this increased performance would not be accompanied by increased power, i.e., the energy-efficiency of silicon-based computer chips roughly doubles every 18 months. Dennard scaling ended in the 2000s.[14] Koomey later showed that a similar rate of efficiency improvement predated silicon chips and Moore's Law, for technologies such as vacuum tubes.

Which term describes a model for growth in the computer industry that states that processor speeds or overall processing power for computers will double every two years?

An Osborne Executive portable computer, from 1982, with a Zilog Z80 4 MHz CPU, and a 2007 Apple iPhone with a 412 MHz ARM11 CPU; the Executive has 100 times the weight, almost 500 times the volume, approximately 10 times the inflation adjusted cost, and 1/103rd the clock frequency of the smartphone.

Microprocessor architects report that since around 2010, semiconductor advancement has slowed industry-wide below the pace predicted by Moore's law.[14] Brian Krzanich, the former CEO of Intel, cited Moore's 1975 revision as a precedent for the current deceleration, which results from technical challenges and is "a natural part of the history of Moore's law".[26][27][28] The rate of improvement in physical dimensions known as Dennard scaling also ended in the mid-2000s. As a result, much of the semiconductor industry has shifted its focus to the needs of major computing applications rather than semiconductor scaling.[22][29][14] Nevertheless, leading semiconductor manufacturers TSMC and Samsung Electronics have claimed to keep pace with Moore's law[30][31][32][33][34][35] with 10 nm and 7 nm nodes in mass production[30][31] and 5 nm nodes in risk production as of 2019[update].[36][37]

Moore's second law

As the cost of computer power to the consumer falls, the cost for producers to fulfill Moore's law follows an opposite trend: R&D, manufacturing, and test costs have increased steadily with each new generation of chips. Rising manufacturing costs are an important consideration for the sustaining of Moore's law.[38] This led to the formulation of Moore's second law, also called Rock's law, which is that the capital cost of a semiconductor fabrication plant also increases exponentially over time.[39][40]

Major enabling factors

Which term describes a model for growth in the computer industry that states that processor speeds or overall processing power for computers will double every two years?

The trend of MOSFET scaling for NAND flash memory allows the doubling of floating-gate MOSFET components manufactured in the same wafer area in less than 18 months.

Numerous innovations by scientists and engineers have sustained Moore's law since the beginning of the IC era. Some of the key innovations are listed below, as examples of breakthroughs that have advanced integrated circuit and semiconductor device fabrication technology, allowing transistor counts to grow by more than seven orders of magnitude in less than five decades.

  • Integrated circuit (IC) – The raison d'être for Moore's law. The germanium hybrid IC was invented by Jack Kilby at Texas Instruments in 1958,[41] followed by the invention of the silicon monolithic IC chip by Robert Noyce at Fairchild Semiconductor in 1959.[42]
  • Complementary metal–oxide–semiconductor (CMOS) – The CMOS process was invented by Chih-Tang Sah and Frank Wanlass at Fairchild Semiconductor in 1963.[43][44][45]
  • Dynamic random-access memory (DRAM) – DRAM was developed by Robert H. Dennard at IBM in 1967.[46]
  • Chemically-amplified photoresist – Invented by Hiroshi Ito, C. Grant Willson and J. M. J. Fréchet at IBM circa 1980,[47][48][49] which was 5–10 times more sensitive to ultraviolet light.[50] IBM introduced chemically amplified photoresist for DRAM production in the mid-1980s.[51][52]
  • Deep UV excimer laser photolithography – Invented by Kanti Jain[53] at IBM circa 1980.[54][55][56] Prior to this, excimer lasers had been mainly used as research devices since their development in the 1970s.[57][58] From a broader scientific perspective, the invention of excimer laser lithography has been highlighted as one of the major milestones in the 50-year history of the laser.[59][60]
  • Interconnect innovations – Interconnect innovations of the late 1990s, including chemical-mechanical polishing or chemical mechanical planarization (CMP), trench isolation, and copper interconnects—although not directly a factor in creating smaller transistors—have enabled improved wafer yield, additional layers of metal wires, closer spacing of devices, and lower electrical resistance.[61][62][63]

Computer industry technology road maps predicted in 2001 that Moore's law would continue for several generations of semiconductor chips.[64]

Which term describes a model for growth in the computer industry that states that processor speeds or overall processing power for computers will double every two years?

A simulation of electron density as gate voltage (Vg) varies in a nanowire MOSFET. The threshold voltage is around 0.45 V. Nanowire MOSFETs lie toward the end of the ITRS road map for scaling devices below 10 nm gate lengths.

One of the key challenges of engineering future nanoscale transistors is the design of gates. As device dimension shrinks, controlling the current flow in the thin channel becomes more difficult. Modern nanoscale transistors typically take the form of multi-gate MOSFETs, with the FinFET being the most common nanoscale transistor. The FinFET has gate dielectric on three sides of the channel. In comparison, the gate-all-around MOSFET (GAAFET) structure has even better gate control.

  • A gate-all-around MOSFET (GAAFET) was first demonstrated in 1988, by a Toshiba research team led by Fujio Masuoka, who demonstrated a vertical nanowire GAAFET which he called a "surrounding gate transistor" (SGT).[65][66] Masuoka, best known as the inventor of flash memory, later left Toshiba and founded Unisantis Electronics in 2004 to research surrounding-gate technology along with Tohoku University.[67]
  • In 2006, a team of Korean researchers from the Korea Advanced Institute of Science and Technology (KAIST) and the National Nano Fab Center developed a 3 nm transistor, the world's smallest nanoelectronic device at time, based on FinFET technology.[68][69]
  • In 2010, researchers at the Tyndall National Institute in Cork, Ireland announced a junctionless transistor. A control gate wrapped around a silicon nanowire can control the passage of electrons without the use of junctions or doping. They claim these may be produced at 10-nm scale using existing fabrication techniques.[70]
  • In 2011, researchers at the University of Pittsburgh announced the development of a single-electron transistor, 1.5 nm in diameter, made out of oxide-based materials. Three "wires" converge on a central "island" that can house one or two electrons. Electrons tunnel from one wire to another through the island. Conditions on the third wire result in distinct conductive properties including the ability of the transistor to act as a solid state memory.[71] Nanowire transistors could spur the creation of microscopic computers.[72][73][74]
  • In 2012, a research team at the University of New South Wales announced the development of the first working transistor consisting of a single atom placed precisely in a silicon crystal (not just picked from a large sample of random transistors).[75] Moore's law predicted this milestone to be reached for ICs in the lab by 2020.
  • In 2015, IBM demonstrated 7 nm node chips with silicon-germanium transistors produced using EUVL. The company believes this transistor density would be four times that of current 14 nm chips.[76]
  • Samsung and TSMC plan to manufacture 3 nm GAAFET nodes by 2021–2022.[77][78] Note that node names, such as 3 nm, have no relation to the physical size of device elements (transistors).
  • A Toshiba research team including T. Imoto, M. Matsui and C. Takubo developed a "System Block Module" wafer bonding process for manufacturing three-dimensional integrated circuit (3D IC) packages in 2001.[79][80] In April 2007, Toshiba introduced an eight-layer 3D IC, the 16 GB THGAM embedded NAND flash memory chip which was manufactured with eight stacked 2 GB NAND flash chips.[81] In September 2007, Hynix introduced 24-layer 3D IC, a 16 GB flash memory chip that was manufactured with 24 stacked NAND flash chips using a wafer bonding process.[82]
  • V-NAND, also known as 3D NAND, allows flash memory cells to be stacked vertically using charge trap flash technology originally presented by John Szedon in 1967, significantly increasing the number of transistors on a flash memory chip. 3D NAND was first announced by Toshiba in 2007.[83] V-NAND was first commercially manufactured by Samsung Electronics in 2013.[84][85][86]
  • In 2008, researchers at HP Labs announced a working memristor, a fourth basic passive circuit element whose existence only had been theorized previously. The memristor's unique properties permit the creation of smaller and better-performing electronic devices.[87]
  • In 2014, bioengineers at Stanford University developed a circuit modeled on the human brain. Sixteen "Neurocore" chips simulate one million neurons and billions of synaptic connections, claimed to be 9,000 times faster as well as more energy efficient than a typical PC.[88]
  • In 2015, Intel and Micron announced 3D XPoint, a non-volatile memory claimed to be significantly faster with similar density compared to NAND. Production scheduled to begin in 2016 was delayed until the second half of 2017.[89][90][91]
  • In 2017, Samsung combined its V-NAND technology with eUFS 3D IC stacking to produce a 512 GB flash memory chip, with eight stacked 64-layer V-NAND dies.[92] In 2019, Samsung produced a 1 TB flash chip with eight stacked 96-layer V-NAND dies, along with quad-level cell (QLC) technology (4-bit per transistor),[93][94] equivalent to 2 trillion transistors, the highest transistor count of any IC chip.
  • In 2020, Samsung Electronics plans to produce the 5 nm node, using FinFET and EUV technology.[31][needs update]
  • In May 2021, IBM announces the creation of the first 2 nm computer chip, with parts supposedly being smaller than human DNA.[95]

Microprocessor architects report that semiconductor advancement has slowed industry-wide since around 2010, below the pace predicted by Moore's law.[14] Brian Krzanich, the former CEO of Intel, announced, "Our cadence today is closer to two and a half years than two."[96] Intel stated in 2015 that improvements in MOSFET devices have slowed, starting at the 22 nm feature width around 2012, and continuing at 14 nm.[97]

The physical limits to transistor scaling have been reached due to source-to-drain leakage, limited gate metals and limited options for channel material. Other approaches are being investigated, which do not rely on physical scaling. These include the spin state of electron spintronics, tunnel junctions, and advanced confinement of channel materials via nano-wire geometry.[98] Spin-based logic and memory options are being developed actively in labs.[99][100]

Alternative materials research

The vast majority of current transistors on ICs are composed principally of doped silicon and its alloys. As silicon is fabricated into single nanometer transistors, short-channel effects adversely change desired material properties of silicon as a functional transistor. Below are several non-silicon substitutes in the fabrication of small nanometer transistors.

One proposed material is indium gallium arsenide, or InGaAs. Compared to their silicon and germanium counterparts, InGaAs transistors are more promising for future high-speed, low-power logic applications. Because of intrinsic characteristics of III-V compound semiconductors, quantum well and tunnel effect transistors based on InGaAs have been proposed as alternatives to more traditional MOSFET designs.

  • In the early 2000s, the atomic layer deposition high-κ film and pitch double-patterning processes were invented by Gurtej Singh Sandhu at Micron Technology, extending Moore's law for planar CMOS technology to 30 nm class and smaller.
  • In 2009, Intel announced the development of 80-nm InGaAs quantum well transistors. Quantum well devices contain a material sandwiched between two layers of material with a wider band gap. Despite being double the size of leading pure silicon transistors at the time, the company reported that they performed equally as well while consuming less power.[101]
  • In 2011, researchers at Intel demonstrated 3-D tri-gate InGaAs transistors with improved leakage characteristics compared to traditional planar designs. The company claims that their design achieved the best electrostatics of any III-V compound semiconductor transistor.[102] At the 2015 International Solid-State Circuits Conference, Intel mentioned the use of III-V compounds based on such an architecture for their 7 nm node.[103][104]
  • In 2011, researchers at the University of Texas at Austin developed an InGaAs tunneling field-effect transistors capable of higher operating currents than previous designs. The first III-V TFET designs were demonstrated in 2009 by a joint team from Cornell University and Pennsylvania State University.[105][106]
  • In 2012, a team in MIT's Microsystems Technology Laboratories developed a 22 nm transistor based on InGaAs which, at the time, was the smallest non-silicon transistor ever built. The team used techniques currently used in silicon device fabrication and aims for better electrical performance and a reduction to 10-nanometer scale.[107]

Biological computing research shows that biological material has superior information density and energy efficiency compared to silicon-based computing.[108]

Which term describes a model for growth in the computer industry that states that processor speeds or overall processing power for computers will double every two years?

Scanning probe microscopy image of graphene in its hexagonal lattice structure

Various forms of graphene are being studied for graphene electronics, e.g. graphene nanoribbon transistors have shown great promise since its appearance in publications in 2008. (Bulk graphene has a band gap of zero and thus cannot be used in transistors because of its constant conductivity, an inability to turn off. The zigzag edges of the nanoribbons introduce localized energy states in the conduction and valence bands and thus a bandgap that enables switching when fabricated as a transistor. As an example, a typical GNR of width of 10 nm has a desirable bandgap energy of 0.4 eV.[109][110]) More research will need to be performed, however, on sub-50 nm graphene layers, as its resistivity value increases and thus electron mobility decreases.[109]

Forecasts and roadmaps

In April 2005, Gordon Moore stated in an interview that the projection cannot be sustained indefinitely: "It can't continue forever. The nature of exponentials is that you push them out and eventually disaster happens." He also noted that transistors eventually would reach the limits of miniaturization at atomic levels:

In terms of size [of transistors] you can see that we're approaching the size of atoms which is a fundamental barrier, but it'll be two or three generations before we get that far.[111]

A 2015 survey[112] of fundamental limits projected that it would not continue past 2055. Nvidia CEO Jensen Huang declared Moore's law dead in 2022[113], although this statement was made in relation to justifying increasing prices of chips. Several days later Intel CEO Pat Gelsinger declared that Moore's law is not dead.[114]

Consequences

Digital electronics have contributed to world economic growth in the late twentieth and early twenty-first centuries.[115] The primary driving force of economic growth is the growth of productivity,[116] and Moore's law factors into productivity. Moore (1995) expected that "the rate of technological progress is going to be controlled from financial realities".[117] The reverse could and did occur around the late-1990s, however, with economists reporting that "Productivity growth is the key economic indicator of innovation."[118] Moore's law describes a driving force of technological and social change, productivity, and economic growth.[119][120][116]

An acceleration in the rate of semiconductor progress contributed to a surge in U.S. productivity growth,[121][122][123] which reached 3.4% per year in 1997–2004, outpacing the 1.6% per year during both 1972–1996 and 2005–2013.[124] As economist Richard G. Anderson notes, "Numerous studies have traced the cause of the productivity acceleration to technological innovations in the production of semiconductors that sharply reduced the prices of such components and of the products that contain them (as well as expanding the capabilities of such products)."[125]

The primary negative implication of Moore's law is that obsolescence pushes society up against the Limits to Growth. As technologies continue to rapidly "improve", they render predecessor technologies obsolete. In situations in which security and survivability of hardware or data are paramount, or in which resources are limited, rapid obsolescence often poses obstacles to smooth or continued operations.[126]

Because of the intensive resource footprint and toxic materials used in the production of computers, obsolescence leads to serious harmful environmental impacts. Americans throw out 400,000 cell phones every day,[127] but this high level of obsolescence appears to companies as an opportunity to generate regular sales of expensive new equipment, instead of retaining one device for a longer period of time, leading to industry using planned obsolescence as a profit centre.[128]

Which term describes a model for growth in the computer industry that states that processor speeds or overall processing power for computers will double every two years?

Intel transistor gate length trend – transistor scaling has slowed down significantly at advanced (smaller) nodes.

An alternative source of improved performance is in microarchitecture techniques exploiting the growth of available transistor count. Out-of-order execution and on-chip caching and prefetching reduce the memory latency bottleneck at the expense of using more transistors and increasing the processor complexity. These increases are described empirically by Pollack's Rule, which states that performance increases due to microarchitecture techniques approximate the square root of the complexity (number of transistors or the area) of a processor.[129]

For years, processor makers delivered increases in clock rates and instruction-level parallelism, so that single-threaded code executed faster on newer processors with no modification.[130] Now, to manage CPU power dissipation, processor makers favor multi-core chip designs, and software has to be written in a multi-threaded manner to take full advantage of the hardware. Many multi-threaded development paradigms introduce overhead, and will not see a linear increase in speed vs number of processors. This is particularly true while accessing shared or dependent resources, due to lock contention. This effect becomes more noticeable as the number of processors increases. There are cases where a roughly 45% increase in processor transistors has translated to roughly 10–20% increase in processing power.[131]

On the other hand, manufacturers are adding specialized processing units to deal with features such as graphics, video, and cryptography. For one example, Intel's Parallel JavaScript extension not only adds support for multiple cores, but also for the other non-general processing features of their chips, as part of the migration in client side scripting toward HTML5.[132]

Moore's law has affected the performance of other technologies significantly: Michael S. Malone wrote of a Moore's War following the apparent success of shock and awe in the early days of the Iraq War. Progress in the development of guided weapons depends on electronic technology.[133] Improvements in circuit density and low-power operation associated with Moore's law also have contributed to the development of technologies including mobile telephones[134] and 3-D printing.[135]

Other formulations and similar observations

Several measures of digital technology are improving at exponential rates related to Moore's law, including the size, cost, density, and speed of components. Moore wrote only about the density of components, "a component being a transistor, resistor, diode or capacitor",[117] at minimum cost.

Transistors per integrated circuit – The most popular formulation is of the doubling of the number of transistors on ICs every two years. At the end of the 1970s, Moore's law became known as the limit for the number of transistors on the most complex chips. The graph at the top shows this trend holds true today. As of 2017, the commercially available processor possessing the highest number of transistors is the 48 core Centriq with over 18 billion transistors.[136]

Density at minimum cost per transistor

This is the formulation given in Moore's 1965 paper.[1] It is not just about the density of transistors that can be achieved, but about the density of transistors at which the cost per transistor is the lowest.[137] As more transistors are put on a chip, the cost to make each transistor decreases, but the chance that the chip will not work due to a defect increases. In 1965, Moore examined the density of transistors at which cost is minimized, and observed that, as transistors were made smaller through advances in photolithography, this number would increase at "a rate of roughly a factor of two per year".[1]

Dennard scaling – This posits that power usage would decrease in proportion to area (both voltage and current being proportional to length) of transistors. Combined with Moore's law, performance per watt would grow at roughly the same rate as transistor density, doubling every 1–2 years. According to Dennard scaling transistor dimensions would be scaled by 30% (0.7x) every technology generation, thus reducing their area by 50%. This would reduce the delay by 30% (0.7x) and therefore increase operating frequency by about 40% (1.4x). Finally, to keep electric field constant, voltage would be reduced by 30%, reducing energy by 65% and power (at 1.4x frequency) by 50%.[c] Therefore, in every technology generation transistor density would double, circuit becomes 40% faster, while power consumption (with twice the number of transistors) stays the same.[138] Dennard scaling came to end in 2005–2010, due to leakage currents.[14]

The exponential processor transistor growth predicted by Moore does not always translate into exponentially greater practical CPU performance. Since around 2005–2007, Dennard scaling has ended, so even though Moore's law continued for several years after that, it has not yielded dividends in improved performance.[12][139] The primary reason cited for the breakdown is that at small sizes, current leakage poses greater challenges, and also causes the chip to heat up, which creates a threat of thermal runaway and therefore, further increases energy costs.[12][139][14]

The breakdown of Dennard scaling prompted a greater focus on multicore processors, but the gains offered by switching to more cores are lower than the gains that would be achieved had Dennard scaling continued.[140][141] In another departure from Dennard scaling, Intel microprocessors adopted a non-planar tri-gate FinFET at 22 nm in 2012 that is faster and consumes less power than a conventional planar transistor.[142] The rate of performance improvement for single-core microprocessors has slowed significantly.[143] Single-core performance was improving by 52% per year in 1986–2003 and 23% per year in 2003–2011, but slowed to just seven percent per year in 2011–2018.[143]

Quality adjusted price of IT equipment – The price of information technology (IT), computers and peripheral equipment, adjusted for quality and inflation, declined 16% per year on average over the five decades from 1959 to 2009.[144][145] The pace accelerated, however, to 23% per year in 1995–1999 triggered by faster IT innovation,[118] and later, slowed to 2% per year in 2010–2013.[144][146]

While quality-adjusted microprocessor price improvement continues,[147] the rate of improvement likewise varies, and is not linear on a log scale. Microprocessor price improvement accelerated during the late 1990s, reaching 60% per year (halving every nine months) versus the typical 30% improvement rate (halving every two years) during the years earlier and later.[148][149] Laptop microprocessors in particular improved 25–35% per year in 2004–2010, and slowed to 15–25% per year in 2010–2013.[150]

The number of transistors per chip cannot explain quality-adjusted microprocessor prices fully.[148][151][152] Moore's 1995 paper does not limit Moore's law to strict linearity or to transistor count, "The definition of 'Moore's Law' has come to refer to almost anything related to the semiconductor industry that on a semi-log plot approximates a straight line. I hesitate to review its origins and by doing so restrict its definition."[117]

Hard disk drive areal density – A similar prediction (sometimes called Kryder's law) was made in 2005 for hard disk drive areal density.[153] The prediction was later viewed as over-optimistic. Several decades of rapid progress in areal density slowed around 2010, from 30–100% per year to 10–15% per year, because of noise related to smaller grain size of the disk media, thermal stability, and writability using available magnetic fields.[154][155]

Fiber-optic capacity – The number of bits per second that can be sent down an optical fiber increases exponentially, faster than Moore's law. Keck's law, in honor of Donald Keck.[156]

Network capacity – According to Gerald Butters,[157][158] the former head of Lucent's Optical Networking Group at Bell Labs, there is another version, called Butters' Law of Photonics,[159] a formulation that deliberately parallels Moore's law. Butters' law says that the amount of data coming out of an optical fiber is doubling every nine months.[160] Thus, the cost of transmitting a bit over an optical network decreases by half every nine months. The availability of wavelength-division multiplexing (sometimes called WDM) increased the capacity that could be placed on a single fiber by as much as a factor of 100. Optical networking and dense wavelength-division multiplexing (DWDM) is rapidly bringing down the cost of networking, and further progress seems assured. As a result, the wholesale price of data traffic collapsed in the dot-com bubble. Nielsen's Law says that the bandwidth available to users increases by 50% annually.[161]

Pixels per dollar – Similarly, Barry Hendy of Kodak Australia has plotted pixels per dollar as a basic measure of value for a digital camera, demonstrating the historical linearity (on a log scale) of this market and the opportunity to predict the future trend of digital camera price, LCD and LED screens, and resolution.[162][163][164][165]

The great Moore's law compensator (TGMLC), also known as Wirth's law – generally is referred to as software bloat and is the principle that successive generations of computer software increase in size and complexity, thereby offsetting the performance gains predicted by Moore's law. In a 2008 article in InfoWorld, Randall C. Kennedy,[166] formerly of Intel, introduces this term using successive versions of Microsoft Office between the year 2000 and 2007 as his premise. Despite the gains in computational performance during this time period according to Moore's law, Office 2007 performed the same task at half the speed on a prototypical year 2007 computer as compared to Office 2000 on a year 2000 computer.

Library expansion – was calculated in 1945 by Fremont Rider to double in capacity every 16 years, if sufficient space were made available.[167] He advocated replacing bulky, decaying printed works with miniaturized microform analog photographs, which could be duplicated on-demand for library patrons or other institutions. He did not foresee the digital technology that would follow decades later to replace analog microform with digital imaging, storage, and transmission media. Automated, potentially lossless digital technologies allowed vast increases in the rapidity of information growth in an era that now sometimes is called the Information Age.

Carlson curve – is a term coined by The Economist[168] to describe the biotechnological equivalent of Moore's law, and is named after author Rob Carlson.[169] Carlson accurately predicted that the doubling time of DNA sequencing technologies (measured by cost and performance) would be at least as fast as Moore's law.[170] Carlson Curves illustrate the rapid (in some cases hyperexponential) decreases in cost, and increases in performance, of a variety of technologies, including DNA sequencing, DNA synthesis, and a range of physical and computational tools used in protein expression and in determining protein structures.

Eroom's law – is a pharmaceutical drug development observation which was deliberately written as Moore's Law spelled backwards in order to contrast it with the exponential advancements of other forms of technology (such as transistors) over time. It states that the cost of developing a new drug roughly doubles every nine years.

Experience curve effects says that each doubling of the cumulative production of virtually any product or service is accompanied by an approximate constant percentage reduction in the unit cost. The acknowledged first documented qualitative description of this dates from 1885.[171][172] A power curve was used to describe this phenomenon in a 1936 discussion of the cost of airplanes.[173]

Edholm's law – Phil Edholm observed that the bandwidth of telecommunication networks (including the Internet) is doubling every 18 months.[174] The bandwidths of online communication networks has risen from bits per second to terabits per second. The rapid rise in online bandwidth is largely due to the same MOSFET scaling that enables Moore's law, as telecommunications networks are built from MOSFETs.[175]

Haitz's law predicts that the brightness of LEDs increases as their manufacturing cost goes down.

Swanson's law is the observation that the price of solar photovoltaic modules tends to drop 20 percent for every doubling of cumulative shipped volume. At present rates, costs go down 75% about every 10 years.

See also

  • Accelerating change – Perceived increase in the rate of technological change throughout history
  • Beyond CMOS – Possible future digital logic technologies
  • Ephemeralization – Technological advancement theory
  • Huang's law – Computer science observation
  • Koomey's law – Trend indicating the number of computations per unit energy dissipated doubles every 1.57 years
  • Limits of computation – Overview of the limits of computation
  • Microprocessor chronology – Timeline of microprocessor development
  • List of eponymous laws – Adages and sayings named after a person
  • List of laws § Technology

Notes

  1. ^ The trend begins with the invention of the integrated circuit in 1958. See the graph on the bottom of page 3 of Moore's original presentation of the idea.[1]
  2. ^ In April 2005, Intel offered US$10,000 to purchase a copy of the original Electronics issue in which Moore's article appeared.[7] An engineer living in the United Kingdom was the first to find a copy and offer it to Intel.[8]
  3. ^ Active power = CV2f

References

  1. ^ a b c d e Moore, Gordon E. (1965-04-19). "Cramming more components onto integrated circuits" (PDF). intel.com. Electronics Magazine. Retrieved April 1, 2020.
  2. ^ Engelbart, Douglas C. (Feb 12, 1960). "Microelectronics and the Art of Similitude". Proceedings of the 1960 International Solid-State Circuits Conference. IEEE: 76–77. doi:10.1109/ISSCC.1960.1157297. Archived from the original on Jun 20, 2018.
  3. ^ Markoff, John (April 18, 2005). "It's Moore's Law But Another Had The Idea First". The New York Times. Archived from the original on March 4, 2012. Retrieved October 4, 2011.
  4. ^ Markoff, John (August 31, 2009). "After the Transistor, a Leap Into the Microcosm". The New York Times. Retrieved 2009-08-31.
  5. ^ Markoff, John (September 27, 2015). "Smaller, Faster, Cheaper, Over: The Future of Computer Chips". The New York Times. Retrieved September 28, 2015.
  6. ^ "Excerpts from a conversation with Gordon Moore: Moore's Law" (PDF). Intel Corporation. 2005. p. 1. Archived from the original (PDF) on 2012-10-29. Retrieved 2020-04-01.
  7. ^ Kanellos, Michael (2005-04-11). "Intel offers $10,000 for Moore's Law magazine". ZDNET News.com. Retrieved 2013-06-21.
  8. ^ "Moore's Law original issue found". BBC News Online. 2005-04-22. Retrieved 2012-08-26.
  9. ^ a b Schaller, Bob (September 26, 1996). "The Origin, Nature, and Implications of "MOORE'S LAW"". Microsoft. Retrieved September 10, 2014. {{cite journal}}: Cite journal requires |journal= (help)
  10. ^ a b c Tuomi, I. (2002). "The Lives and Death of Moore's Law". First Monday. 7 (11). doi:10.5210/fm.v7i11.1000.
  11. ^ Moore, Gordon (March 30, 2015). "Gordon Moore: The Man Whose Name Means Progress, The visionary engineer reflects on 50 years of Moore's Law". IEEE Spectrum: Special Report: 50 Years of Moore's Law (Interview). Interviewed by Rachel Courtland. We won't have the rate of progress that we've had over the last few decades. I think that's inevitable with any technology; it eventually saturates out. I guess I see Moore's law dying here in the next decade or so, but that's not surprising.
  12. ^ a b c McMenamin, Adrian (April 15, 2013). "The end of Dennard scaling". Retrieved January 23, 2014.
  13. ^ Streetman, Ben G.; Banerjee, Sanjay Kumar (2016). Solid state electronic devices. Boston: Pearson. p. 341. ISBN 978-1-292-06055-2. OCLC 908999844.
  14. ^ a b c d e f g John L. Hennessy; David A. Patterson (June 4, 2018). "A New Golden Age for Computer Architecture: Domain-Specific Hardware/Software Co-Design, Enhanced Security, Open Instruction Sets, and Agile Chip Development". International Symposium on Computer Architecture - ISCA 2018. In the later 1990s and 2000s, architectural innovation decreased, so performance came primarily from higher clock rates and larger caches. The ending of Dennard Scaling and Moore’s Law also slowed this path; single core performance improved only 3% last year!
  15. ^ Takahashi, Dean (April 18, 2005). "Forty years of Moore's law". Seattle Times. San Jose, CA. Retrieved April 7, 2015. A decade later, he revised what had become known as Moore's Law: The number of transistors on a chip would double every two years.
  16. ^ a b Moore, Gordon (1975). "IEEE Technical Digest 1975" (PDF). Intel Corp. Archived (PDF) from the original on 2022-10-09. Retrieved April 7, 2015. ... the rate of increase of complexity can be expected to change slope in the next few years as shown in Figure 5. The new slope might approximate a doubling every two years, rather than every year, by the end of the decade. {{cite journal}}: Cite journal requires |journal= (help)
  17. ^ Moore, Gordon (2006). "Chapter 7: Moore's law at 40" (PDF). In Brock, David (ed.). Understanding Moore's Law: Four Decades of Innovation. Chemical Heritage Foundation. pp. 67–84. ISBN 978-0-941901-41-3. Archived from the original (PDF) on 2016-03-04. Retrieved March 22, 2018.
  18. ^ "Over 6 Decades of Continued Transistor Shrinkage, Innovation" (Press release). Santa Clara, California: Intel Corporation. Intel Corporation. 2011-05-01. Retrieved 2015-03-15. 1965: Moore's Law is born when Gordon Moore predicts that the number of transistors on a chip will double roughly every year (a decade later, in 1975, Moore published an update, revising the doubling period to every 2 years)
  19. ^ Brock, David C., ed. (2006). Understanding Moore's law: four decades of innovation. Philadelphia, Pa: Chemical Heritage Foundation. ISBN 978-0941901413.
  20. ^ in reference to Gordon E. Moore's statements at the IEEE. "Moore's Law – The Genius Lives On". IEEE solid-state circuits society newsletter. September 2006. Archived from the original on 2007-07-13. Retrieved 2006-11-22.
  21. ^ "Moore's Law at 40 – Happy birthday". The Economist. 2005-03-23. Retrieved 2006-06-24.
  22. ^ a b Disco, Cornelius; van der Meulen, Barend (1998). Getting new technologies together. New York: Walter de Gruyter. pp. 206–207. ISBN 978-3-11-015630-0. OCLC 39391108. Retrieved August 23, 2008.
  23. ^ "Gordon Moore Says Aloha to Moore's Law". the Inquirer. April 13, 2005. Archived from the original on November 6, 2009. Retrieved September 2, 2009.{{cite web}}: CS1 maint: unfit URL (link)
  24. ^ "PressReader.com - Connecting People Through News". www.pressreader.com. Retrieved 2018-08-24.
  25. ^ "Moore's Law to roll on for another decade". Retrieved 2011-11-27. Moore also affirmed he never said transistor count would double every 18 months, as is commonly said. Initially, he said transistors on a chip would double every year. He then recalibrated it to every two years in 1975. David House, an Intel executive at the time, noted that the changes would cause computer performance to double every 18 months.
  26. ^ Bradshaw, Tim (July 16, 2015). "Intel chief raises doubts over Moore's law". Financial Times. Retrieved 2015-07-16.
  27. ^ Waters, Richard (July 16, 2015). "As Intel co-founder's law slows, a rethinking of the chip is needed". Financial Times.
  28. ^ Niccolai, James (July 15, 2015). "Intel pushes 10nm chip-making process to 2017, slowing Moore's Law". Infoworld. Retrieved 2015-07-16. It's official: Moore's Law is slowing down. ... "These transitions are a natural part of the history of Moore's Law and are a by-product of the technical challenges of shrinking transistors while ensuring they can be manufactured in high volume", Krzanich said.
  29. ^ Thomas M. Conte; Elie Track; Erik DeBenedictis (December 2015). "Rebooting Computing: New Strategies for Technology Scaling". Computer. 48 (12): 10–13. doi:10.1109/MC.2015.363. S2CID 43750026. Year-over-year exponential computer performance scaling has ended. Complicating this is the coming disruption of the "technology escalator" underlying the industry: Moore's law.
  30. ^ a b Shilov, Anton (October 23, 2019). "TSMC: 5nm on Track for Q2 2020 HVM, Will Ramp Faster Than 7nm". www.anandtech.com. Retrieved December 1, 2019.
  31. ^ a b c Shilov, Anton (July 31, 2019). "Home>Semiconductors Samsung's Aggressive EUV Plans: 6nm Production in H2, 5nm & 4nm On Track". www.anandtech.com. Retrieved December 1, 2019.
  32. ^ Cheng, Godfrey (14 August 2019). "Moore's Law is not Dead". TSMC Blog. TSMC. Retrieved 18 August 2019.
  33. ^ Martin, Eric (4 June 2019). "Moore's Law is Alive and Well - Charts show it may be dying at Intel, but others are picking up the slack". Medium. Archived from the original on 25 August 2019. Retrieved 19 July 2019.
  34. ^ "5nm Vs. 3nm". Semiconductor Engineering. 24 June 2019. Retrieved 19 July 2019.
  35. ^ Lilly, Paul (17 July 2019). "Intel says it was too aggressive pursuing 10nm, will have 7nm chips in 2021". PC Gamer.
  36. ^ Shilov, Anton. "Samsung Completes Development of 5nm EUV Process Technology". anandtech.com. Retrieved 2019-05-31.
  37. ^ TSMC and OIP Ecosystem Partners Deliver Industry's First Complete Design Infrastructure for 5nm Process Technology (press release), TSMC, 3 April 2019, archived from the original on 14 May 2020, retrieved 19 July 2019
  38. ^ Lemon, Sumner; Krazit, Tom (2005-04-19). "With chips, Moore's Law is not the problem". Infoworld. Retrieved 2011-08-22.
  39. ^ Dorsch, Jeff. "Does Moore's Law Still Hold Up?" (PDF). EDA Vision. Archived from the original (PDF) on 2006-05-06. Retrieved 2011-08-22.
  40. ^ Schaller, Bob (1996-09-26). "The Origin, Nature, and Implications of "Moore's Law"". Research.microsoft.com. Retrieved 2011-08-22.
  41. ^ Kilby, J., "Miniaturized electronic circuits", US 3138743 , issued June 23, 1964 (filed February 6, 1959).
  42. ^ Noyce, R., "Semiconductor device-and-lead structure", US 2981877 , issued April 25, 1961 (filed July 30, 1959)
  43. ^ "1963: Complementary MOS Circuit Configuration is Invented". Computer History Museum. Retrieved 6 July 2019.
  44. ^ Sah, Chih-Tang; Wanlass, Frank (1963). Nanowatt logic using field-effect metal-oxide semiconductor triodes. 1963 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. Vol. VI. pp. 32–33. doi:10.1109/ISSCC.1963.1157450.
  45. ^ Wanlass, F., "Low stand-by power complementary field effect circuitry", US 3356858 , issued December 5, 1967 (filed June 18, 1963).
  46. ^ Dennard, R., "Field-effect transistor memory", US 3387286 , issued June 4, 1968 (filed July 14, 1967)
  47. ^ U.S. Patent 4,491,628 "Positive and Negative Working Resist Compositions with Acid-Generating Photoinitiator and Polymer with Acid-Labile Groups Pendant From Polymer Backbone" J. M. J. Fréchet, H. Ito and C. G. Willson 1985.[1]
  48. ^ Ito, H.; Willson, C. G. (1983). "Chemical amplification in the design of dry developing resist material". Polymer Engineering & Science. 23 (18): 204. doi:10.1002/pen.760231807.
  49. ^ Ito, Hiroshi; Willson, C. Grant; Frechet, Jean H. J. (1982). "New UV resists with negative or positive tone". VLSI Technology, 1982. Digest of Technical Papers. Symposium on.
  50. ^ Brock, David C. (2007-10-01). "Patterning the World: The Rise of Chemically Amplified Photoresists". Chemical Heritage Magazine. Chemical Heritage Foundation. Retrieved 27 March 2018.
  51. ^ Lamola, Angelo A., et al. "Chemically amplified resists". Solid State Technology, Aug. 1991, p. 53+."Chemically amplified resists". August 1991. Retrieved 2017-11-01.
  52. ^ Ito, Hiroshi (2000). "Chemical amplification resists: History and development within IBM" (PDF). IBM Journal of Research and Development. Archived (PDF) from the original on 2022-10-09. Retrieved 2014-05-20.
  53. ^ 4458994 A US patent US 4458994 A, Kantilal Jain, Carlton G. Willson, "High resolution optical lithography method and apparatus having excimer laser light source and stimulated Raman shifting", issued 1984-07-10 
  54. ^ Jain, K.; Willson, C. G.; Lin, B. J. (1982). "Ultrafast deep-UV lithography with excimer lasers". IEEE Electron Device Letters. 3 (3): 53–55. Bibcode:1982IEDL....3...53J. doi:10.1109/EDL.1982.25476. S2CID 43335574.
  55. ^ Jain, K. "Excimer Laser Lithography", SPIE Press, Bellingham, WA, 1990.
  56. ^ La Fontaine, Bruno (October 2010). "Lasers and Moore's Law". SPIE Professional. p. 20.
  57. ^ Basov, N. G. et al., Zh. Eksp. Fiz. i Tekh. Pis'ma. Red. 12, 473(1970).
  58. ^ Burnham, R.; Djeu, N. (1976). "Ultraviolet‐preionized discharge‐pumped lasers in XeF, KrF, and ArF". Appl. Phys. Lett. 29 (11): 707. Bibcode:1976ApPhL..29..707B. doi:10.1063/1.88934.
  59. ^ Lasers in Our Lives / 50 Years of Impact (PDF), U.K. Engineering and Physical Sciences Research Council, archived from the original (PDF) on 2011-09-13, retrieved 2011-08-22
  60. ^ "50 Years Advancing the Laser" (PDF). SPIE. Archived (PDF) from the original on 2022-10-09. Retrieved 2011-08-22.
  61. ^ Moore, Gordon E. (2003-02-10). "transcription of Gordon Moore's Plenary Address at ISSCC 50th Anniversary" (PDF). transcription "Moore on Moore: no Exponential is forever". 2003 IEEE International Solid-State Circuits Conference. San Francisco, California: ISSCC. Archived from the original (PDF) on 2010-03-31.
  62. ^ Steigerwald, J. M. (2008). "Chemical mechanical polish: The enabling technology". 2008 IEEE International Electron Devices Meeting. pp. 1–4. doi:10.1109/IEDM.2008.4796607. ISBN 978-1-4244-2377-4. S2CID 8266949. "Table1: 1990 enabling multilevel metallization; 1995 enabling STI compact isolation, polysilicon patterning and yield / defect reduction"
  63. ^ "IBM100 – Copper Interconnects: The Evolution of Microprocessors". 2012-03-07. Retrieved October 17, 2012.
  64. ^ "International Technology Roadmap for Semiconductors". Archived from the original on 2011-08-25. Retrieved 2011-08-22.
  65. ^ Masuoka, Fujio; Takato, H.; Sunouchi, K.; Okabe, N.; Nitayama, A.; Hieda, K.; Horiguchi, F. (December 1988). "High performance CMOS surrounding-gate transistor (SGT) for ultra high density LSIs". Technical Digest., International Electron Devices Meeting: 222–225. doi:10.1109/IEDM.1988.32796. S2CID 114148274.
  66. ^ Brozek, Tomasz (2017). Micro- and Nanoelectronics: Emerging Device Challenges and Solutions. CRC Press. p. 117. ISBN 9781351831345.
  67. ^ "Company Profile". Unisantis Electronics. Archived from the original on 22 February 2007. Retrieved 17 July 2019.
  68. ^ "Still Room at the Bottom.(nanometer transistor developed by Yang-kyu Choi from the Korea Advanced Institute of Science and Technology )", Nanoparticle News, 1 April 2006, archived from the original on 6 November 2012
  69. ^ Lee, Hyunjin; et al. (2006), "Sub-5nm All-Around Gate FinFET for Ultimate Scaling", Symposium on VLSI Technology, 2006: 58–59, doi:10.1109/VLSIT.2006.1705215, hdl:10203/698, ISBN 978-1-4244-0005-8, S2CID 26482358
  70. ^ Johnson, Dexter (2010-02-22). "Junctionless Transistor Fabricated from Nanowires". IEEE Spectrum. Retrieved 2010-04-20.
  71. ^ Cheng, Guanglei; Siles, Pablo F.; Bi, Feng; Cen, Cheng; Bogorin, Daniela F.; Bark, Chung Wung; Folkman, Chad M.; Park, Jae-Wan; Eom, Chang-Beom; Medeiros-Ribeiro, Gilberto; Levy, Jeremy (2011-04-19). "Super-small transistor created: Artificial atom powered by single electron". Nature Nanotechnology. 6 (6): 343–347. Bibcode:2011NatNa...6..343C. doi:10.1038/nnano.2011.56. PMID 21499252. Retrieved 2011-08-22.
  72. ^ Kaku, Michio (2010). Physics of the Future. Doubleday. p. 173. ISBN 978-0-385-53080-4.
  73. ^ Yirka, Bob (2013-05-02). "New nanowire transistors may help keep Moore's Law alive". Nanoscale. 5 (6): 2437–41. Bibcode:2013Nanos...5.2437L. doi:10.1039/C3NR33738C. PMID 23403487. Retrieved 2013-08-08.
  74. ^ "Rejuvenating Moore's Law With Nanotechnology". Forbes. 2007-06-05. Retrieved 2013-08-08.
  75. ^ Fuechsle, M; Miwa, JA; Mahapatra, S; Ryu, H; Lee, S; Warschkow, O; Hollenberg, LC; Klimeck, G; Simmons, MY (2011-12-16). "A single-atom transistor". Nat Nanotechnol. 7 (4): 242–6. Bibcode:2012NatNa...7..242F. doi:10.1038/nnano.2012.21. PMID 22343383. S2CID 14952278.
  76. ^ "IBM Reports Advance in Shrinking Chip Circuitry". The Wall Street Journal. July 9, 2015. Retrieved July 9, 2015.
  77. ^ Armasu, Lucian (11 January 2019), "Samsung Plans Mass Production of 3nm GAAFET Chips in 2021", www.tomshardware.com
  78. ^ Patterson, Alan (October 2, 2017), "TSMC Aims to Build World's First 3-nm Fab", www.eetimes.com
  79. ^ Garrou, Philip (6 August 2008). "Introduction to 3D Integration" (PDF). Handbook of 3D Integration: Technology and Applications of 3D Integrated Circuits. Wiley-VCH. p. 4. doi:10.1002/9783527623051.ch1. ISBN 9783527623051. Archived (PDF) from the original on 2022-10-09.
  80. ^ Imoto, T.; Matsui, M.; Takubo, C.; Akejima, S.; Kariya, T.; Nishikawa, T.; Enomoto, R. (2001). "Development of 3-Dimensional Module Package, "System Block Module"". Electronic Components and Technology Conference. Institute of Electrical and Electronics Engineers (51): 552–7.
  81. ^ "TOSHIBA COMMERCIALIZES INDUSTRY'S HIGHEST CAPACITY EMBEDDED NAND FLASH MEMORY FOR MOBILE CONSUMER PRODUCTS". Toshiba. April 17, 2007. Archived from the original on November 23, 2010. Retrieved 23 November 2010.
  82. ^ "Hynix Surprises NAND Chip Industry". Korea Times. 5 September 2007. Retrieved 8 July 2019.
  83. ^ "Toshiba announces new "3D" NAND flash technology". Engadget. 2007-06-12. Retrieved 10 July 2019.
  84. ^ "Samsung Introduces World's First 3D V-NAND Based SSD for Enterprise Applications | Samsung | Samsung Semiconductor Global Website". www.samsung.com.
  85. ^ Clarke, Peter. "Samsung Confirms 24 Layers in 3D NAND". EETimes.
  86. ^ "Samsung Electronics Starts Mass Production of Industry First 3-bit 3D V-NAND Flash Memory". news.samsung.com.
  87. ^ Strukov, Dmitri B; Snider, Gregory S; Stewart, Duncan R; Williams, Stanley R (2008). "The missing memristor found". Nature. 453 (7191): 80–83. Bibcode:2008Natur.453...80S. doi:10.1038/nature06932. PMID 18451858. S2CID 4367148.
  88. ^ "Stanford bioengineers create circuit board modeled on the human brain - Stanford News Release". news.stanford.edu. 2014-04-28.
  89. ^ Kelion, Leo (2015-07-28). "3D Xpoint memory: Faster-than-flash storage unveiled". BBC News.
  90. ^ "Intel's New Memory Chips Are Faster, Store Way More Data". WIRED. July 28, 2015.
  91. ^ Peter Bright (March 19, 2017). "Intel's first Optane SSD: 375GB that you can also use as RAM". Ars Technica. Retrieved March 31, 2017.
  92. ^ Shilov, Anton (December 5, 2017). "Samsung Starts Production of 512 GB UFS NAND Flash Memory: 64-Layer V-NAND, 860 MB/s Reads". AnandTech. Retrieved 23 June 2019.
  93. ^ Manners, David (30 January 2019). "Samsung makes 1TB flash eUFS module". Electronics Weekly. Retrieved 23 June 2019.
  94. ^ Tallis, Billy (October 17, 2018). "Samsung Shares SSD Roadmap for QLC NAND And 96-layer 3D NAND". AnandTech. Retrieved 27 June 2019.
  95. ^ IBM (May 6, 2021). "IBM Unveils World's First 2 Nanometer Chip Technology, Opening a New Frontier for Semiconductors". Archived from the original on May 6, 2021. Retrieved May 14, 2021.
  96. ^ Clark, Don (July 15, 2015). "Intel Rechisels the Tablet on Moore's Law". Wall Street Journal Digits Tech News and Analysis. Retrieved 2015-07-16. The last two technology transitions have signaled that our cadence today is closer to two and a half years than two
  97. ^ "INTEL CORP, FORM 10-K (Annual Report), Filed 02/12/16 for the Period Ending 12/26/15" (PDF). Archived from the original (PDF) on 2018-12-04. Retrieved 2017-02-24.
  98. ^ Nikonov, Dmitri E.; Young, Ian A. (2013-02-01). "Overview of Beyond-CMOS Devices and A Uniform Methodology for Their Benchmarking". Cornell University Library. arXiv:1302.0244. Bibcode:2013arXiv1302.0244N. {{cite journal}}: Cite journal requires |journal= (help)
  99. ^ Manipatruni, Sasikanth; Nikonov, Dmitri E.; Young, Ian A. (2016). "Material Targets for Scaling All Spin Logic". Physical Review Applied. 5 (1): 014002. arXiv:1212.3362. Bibcode:2016PhRvP...5a4002M. doi:10.1103/PhysRevApplied.5.014002. S2CID 1541400.
  100. ^ Behin-Aein, Behtash; Datta, Deepanjan; Salahuddin, Sayeef; Datta, Supriyo (2010-02-28). "Proposal for an all-spin logic device with built-in memory". Nature Nanotechnology. 5 (4): 266–270. Bibcode:2010NatNa...5..266B. doi:10.1038/nnano.2010.31. PMID 20190748.
  101. ^ Dewey, G.; Kotlyar, R.; Pillarisetty, R.; Radosavljevic, M.; Rakshit, T.; Then, H.; Chau, R. (2009-12-07). "Logic performance evaluation and transport physics of Schottky-gate III–V compound semiconductor quantum well field effect transistors for power supply voltages (V<inf>CC</inf>) ranging from 0.5v to 1.0v". Logic performance evaluation and transport physics of Schottky-gate III-V compound semiconductor quantum well field effect transistors for power supply voltages (VCC) ranging from 0.5v to 1.0v. IEEE. pp. 1–4. doi:10.1109/IEDM.2009.5424314. ISBN 978-1-4244-5639-0. S2CID 41734511.
  102. ^ Radosavljevic R, et al. (2011-12-05). "Electrostatics improvement in 3-D tri-gate over ultra-thin body planar InGaAs quantum well field effect transistors with high-κ gate dielectric and scaled gate-to-drain/gate-to-source separation". Electrostatics improvement in 3-D tri-gate over ultra-thin planar InGaAs quantum well field effect transistors with high-κ gate dielectric and scaled gate-to-drain/gate-to-source separation. IEEE. pp. 33.1.1–33.1.4. doi:10.1109/IEDM.2011.6131661. ISBN 978-1-4577-0505-2. S2CID 37889140.
  103. ^ Cutress, Ian (2015-02-22). "Intel at ISSCC 2015: Reaping the Benefits of 14nm and Going Beyond 10nm". Anandtech. Retrieved 2016-08-15.
  104. ^ Anthony, Sebastian (2015-02-23). "Intel forges ahead to 10nm, will move away from silicon at 7nm". Ars Technica. Retrieved 2016-08-15.
  105. ^ Cooke, Mike (April–May 2011). "InGaAs tunnel FET with ON current increased by 61%" (PDF). Vol. 6, no. 6. Semiconductor Today. Retrieved 2016-08-15.
  106. ^ Han Zhao; et al. (2011-02-28). "Improving the on-current of In0.7Ga0.3As tunneling field-effect-transistors by p++/n+ tunneling junction". Applied Physics Letters. 98 (9): 093501. Bibcode:2011ApPhL..98i3501Z. doi:10.1063/1.3559607.
  107. ^ Knight, Helen (2012-10-12). "Tiny compound semiconductor transistor could challenge silicon's dominance". MIT News. Retrieved 2016-08-15.
  108. ^ Cavin, R. K.; Lugli, P.; Zhirnov, V. V. (2012-05-01). "Science and Engineering Beyond Moore's Law". Proceedings of the IEEE. 100 (Special Centennial Issue): 1720–1749. doi:10.1109/JPROC.2012.2190155. ISSN 0018-9219.
  109. ^ a b Avouris, Phaedon; Chen, Zhihong; Perebeinos, Vasili (2007-09-30). "Carbon-based electronics" (PDF). Nature Nanotechnology. 2 (10): 605–15. Bibcode:2007NatNa...2..605A. doi:10.1038/nnano.2007.300. PMID 18654384. Retrieved 2016-08-15.
  110. ^ Schwierz, Frank (2010-04-11). "Graphene Transistors -- A New Contender for Future Electronics". Solid-State and Integrated Circuit Technology (ICSICT), 2010 10th IEEE International Conference. {{cite journal}}: Cite journal requires |journal= (help)
  111. ^ Dubash, Manek (2005-04-13). "Moore's Law is dead, says Gordon Moore". Techworld. Retrieved 2006-06-24.
  112. ^ Kumar, Suhas (2012). "Fundamental Limits to Moore's Law". arXiv:1511.05956 [cond-mat.mes-hall].
  113. ^ Witkowski, Wallace (2022-09-22). "'Moore's Law's dead,' Nvidia CEO Jensen Huang says in justifying gaming-card price hike". MarketWatch. Retrieved 2022-09-23.
  114. ^ Machkovech, Sam (2022-09-27). "Intel: 'Moore's law is not dead' as Arc A770 GPU is priced at $329". Ars Technica. Retrieved 2022-09-28.
  115. ^ Rauch, Jonathan (January 2001). "The New Old Economy: Oil, Computers, and the Reinvention of the Earth". The Atlantic Monthly. Retrieved November 28, 2008.
  116. ^ a b Kendrick, John W. (1961). Productivity Trends in the United States. Princeton University Press for NBER. p. 3.
  117. ^ a b c Moore, Gordon E. (1995). "Lithography and the future of Moore's law" (PDF). SPIE. Archived (PDF) from the original on 2022-10-09. Retrieved 2014-05-27.
  118. ^ a b Jorgenson, Dale W.; Ho, Mun S.; Samuels, Jon D. (2014). "Long-term Estimates of U.S. Productivity and Growth" (PDF). World KLEMS Conference. Archived (PDF) from the original on 2022-10-09. Retrieved 2014-05-27.
  119. ^ Keyes, Robert W. (September 2006). "The Impact of Moore's Law". Solid State Circuits Newsletter. Vol. 11, no. 3. pp. 25–27. doi:10.1109/N-SSC.2006.4785857.
  120. ^ Liddle, David E. (September 2006). "The Wider Impact of Moore's Law". Solid State Circuits Newsletter. 11 (3): 28–30. doi:10.1109/N-SSC.2006.4785858. S2CID 29759395. Retrieved November 28, 2008.
  121. ^ Jorgenson, Dale W. (2000). "Information Technology and the U.S. Economy: Presidential Address to the American Economic Association". American Economic Association. CiteSeerX 10.1.1.198.9555. {{cite journal}}: Cite journal requires |journal= (help)
  122. ^ Jorgenson, Dale W.; Ho, Mun S.; Stiroh, Kevin J. (2008). "A Retrospective Look at the U.S. Productivity Growth Resurgence". Journal of Economic Perspectives. 22: 3–24. doi:10.1257/jep.22.1.3.
  123. ^ Grimm, Bruce T.; Moulton, Brent R.; Wasshausen, David B. (2002). "Information Processing Equipment and Software in the National Accounts" (PDF). U.S. Department of Commerce Bureau of Economic Analysis. Archived (PDF) from the original on 2022-10-09. Retrieved 2014-05-15.
  124. ^ "Nonfarm Business Sector: Real Output Per Hour of All Persons". Federal Reserve Bank of St. Louis Economic Data. 2014. Retrieved 2014-05-27.
  125. ^ Anderson, Richard G. (2007). "How Well Do Wages Follow Productivity Growth?" (PDF). Federal Reserve Bank of St. Louis Economic Synopses. Archived (PDF) from the original on 2022-10-09. Retrieved 2014-05-27.
  126. ^ Standborn, Peter (April 2008). "Trapped on Technology's Trailing Edge". IEEE Spectrum. Retrieved 2011-11-27.
  127. ^ Proctor, Nathan (December 11, 2018). "Americans Toss 151 Million Phones A Year. What If We Could Repair Them Instead?". wbur.org. Retrieved July 29, 2021.{{cite web}}: CS1 maint: url-status (link)
  128. ^ "WEEE – Combating the obsolescence of computers and other devices". SAP Community Network. 2012-12-14. Retrieved 2013-08-08.
  129. ^ Shekhar Borkar, Andrew A. Chien (May 2011). "The Future of Microprocessors". Communications of the ACM. 54 (5): 67–77. doi:10.1145/1941487.1941507.
  130. ^ See Herb Sutter,The Free Lunch Is Over: A Fundamental Turn Toward Concurrency in Software, Dr. Dobb's Journal, 30(3), March 2005. Retrieved November 21, 2011.
  131. ^ Shimpi, Anand Lal (2004-07-21). "AnandTech: Intel's 90nm Pentium M 755: Dothan Investigated". Anadtech. Retrieved 2007-12-12.
  132. ^ "Parallel JavaScript". Intel. 2011-09-15. Retrieved 2013-08-08.
  133. ^ Malone, Michael S. (March 27, 2003). "Silicon Insider: Welcome to Moore's War". ABC News. Retrieved 2011-08-22.
  134. ^ Zygmont, Jeffrey (2003). Microchip. Cambridge, MA, USA: Perseus Publishing. pp. 154–169. ISBN 978-0-7382-0561-8.
  135. ^ Lipson, Hod (2013). Fabricated: The New World of 3D Printing. Indianapolis, IN, USA: John Wiley & Sons. ISBN 978-1-118-35063-8.
  136. ^ "Qualcomm Processor". Qualcomm. 2017-11-08.
  137. ^ Stokes, Jon (2008-09-27). "Understanding Moore's Law". Ars Technica. Retrieved 2011-08-22.
  138. ^ Borkar, Shekhar; Chien, Andrew A. (May 2011). "The Future of Microprocessors". Communications of the ACM. 54 (5): 67. CiteSeerX 10.1.1.227.3582. doi:10.1145/1941487.1941507. S2CID 11032644. Retrieved 2011-11-27.
  139. ^ a b Bohr, Mark (January 2007). "A 30 Year Retrospective on Dennard's MOSFET Scaling Paper" (PDF). Solid-State Circuits Society. Retrieved January 23, 2014.
  140. ^ Esmaeilzedah, Hadi; Blem, Emily; St. Amant, Renee; Sankaralingam, Kartikeyan; Burger, Doug. "Dark Silicon and the end of multicore scaling" (PDF). Archived (PDF) from the original on 2022-10-09.
  141. ^ Hruska, Joel (February 1, 2012). "The death of CPU scaling: From one core to many — and why we're still stuck". ExtremeTech. Retrieved January 23, 2014.
  142. ^ Mistry, Kaizad (2011). "Tri-Gate Transistors: Enabling Moore's Law at 22nm and Beyond" (PDF). Intel Corporation at semiconwest.org. Archived from the original (PDF) on 2015-06-23. Retrieved 2014-05-27.
  143. ^ a b John L. Hennessy; David A. Patterson (June 4, 2018). "A New Golden Age for Computer Architecture: Domain-Specific Hardware/Software Co-Design, Enhanced Security, Open Instruction Sets, and Agile Chip Development" (PDF). International Symposium on Computer Architecture - ISCA 2018. Archived (PDF) from the original on 2022-10-09. End of Growth of Single Program Speed?
  144. ^ a b "Private fixed investment, chained price index: Nonresidential: Equipment: Information processing equipment: Computers and peripheral equipment". Federal Reserve Bank of St. Louis. 2014. Retrieved 2014-05-12.
  145. ^ Nambiar, Raghunath; Poess, Meikel (2011). Transaction Performance vs. Moore's Law: A Trend Analysis. Lecture Notes in Computer Science. Vol. 6417. Springer. pp. 110–120. doi:10.1007/978-3-642-18206-8_9. ISBN 978-3-642-18205-1. S2CID 31327565.
  146. ^ Feroli, Michael (2013). "US: is I.T. over?" (PDF). JPMorgan Chase Bank NA Economic Research. Retrieved 2014-05-15.
  147. ^ Byrne, David M.; Oliner, Stephen D.; Sichel, Daniel E. (March 2013). Is the Information Technology Revolution Over? (PDF). Finance and Economics Discussion Series Divisions of Research & Statistics and Monetary Affairs Federal Reserve Board. Washington, D.C.: Federal Reserve Board Finance and Economics Discussion Series (FEDS). Archived (PDF) from the original on 2014-06-09. technical progress in the semiconductor industry has continued to proceed at a rapid pace ... Advances in semiconductor technology have driven down the constant-quality prices of MPUs and other chips at a rapid rate over the past several decades.
  148. ^ a b Aizcorbe, Ana; Oliner, Stephen D.; Sichel, Daniel E. (2006). "Shifting Trends in Semiconductor Prices and the Pace of Technological Progress". The Federal Reserve Board Finance and Economics Discussion Series. Retrieved 2014-05-15.
  149. ^ Aizcorbe, Ana (2005). "Why Are Semiconductor Price Indexes Falling So Fast? Industry Estimates and Implications for Productivity Measurement" (PDF). U.S. Department of Commerce Bureau of Economic Analysis. Archived from the original (PDF) on 2017-08-09. Retrieved 2014-05-15.
  150. ^ Sun, Liyang (2014-04-25). "What We Are Paying for: A Quality Adjusted Price Index for Laptop Microprocessors". Wellesley College. Archived from the original on 2014-11-11. Retrieved 2014-11-07. ... compared with −25% to −35% per year over 2004–2010, the annual decline plateaus around −15% to −25% over 2010–2013.
  151. ^ Aizcorbe, Ana; Kortum, Samuel (2004). "Moore's Law and the Semiconductor Industry: A Vintage Model" (PDF). U.S. Department of Commerce Bureau of Economic Analysis. Retrieved 2014-05-27.
  152. ^ Markoff, John (2004). "Intel's Big Shift After Hitting Technical Wall". New York Times. Retrieved 2014-05-27.
  153. ^ Walter, Chip (2005-07-25). "Kryder's Law". Scientific American. (Verlagsgruppe Georg von Holtzbrinck GmbH). Retrieved 2006-10-29.
  154. ^ Plumer, Martin L.; et al. (March 2011). "New Paradigms in Magnetic Recording". Physics in Canada. 67 (1): 25–29. arXiv:1201.5543. Bibcode:2012arXiv1201.5543P.
  155. ^ Mellor, Chris (2014-11-10). "Kryder's law craps out: Race to UBER-CHEAP STORAGE is OVER". theregister.co.uk. UK: The Register. Retrieved 2014-11-12. Currently 2.5-inch drives are at 500GB/platter with some at 600GB or even 667GB/platter – a long way from 20TB/platter. To reach 20TB by 2020, the 500GB/platter drives will have to increase areal density 44 times in six years. It isn't going to happen. ... Rosenthal writes: "The technical difficulties of migrating from PMR to HAMR, meant that already in 2010 the Kryder rate had slowed significantly and was not expected to return to its trend in the near future. The floods reinforced this."
  156. ^ Jeff Hecht. "Is Keck's Law Coming to an End?". IEEE Spectrum. 2016.
  157. ^ "Gerald Butters is a communications industry veteran". Forbes.com. Archived from the original on 2007-10-12.
  158. ^ "Board of Directors". LAMBDA OpticalSystems. Retrieved 2011-08-22.
  159. ^ Tehrani, Rich. "As We May Communicate". Tmcnet.com. Retrieved 2011-08-22.
  160. ^ Robinson, Gail (2000-09-26). "Speeding net traffic with tiny mirrors". EE Times. Archived from the original on 2010-01-07. Retrieved 2011-08-22.
  161. ^ Nielsen, Jakob (1998-04-05). "Nielsen's Law of Internet Bandwidth". Alertbox. Retrieved 2011-08-22.
  162. ^ Switkowski, Ziggy (2009-04-09). "Trust the power of technology". The Australian. Retrieved 2013-12-02.
  163. ^ Günsirer, Emin; Farrow, Rik. "Some Lesser-Known Laws of Computer Science" (PDF). Archived (PDF) from the original on 2022-10-09. Retrieved 2013-12-02. {{cite journal}}: Cite journal requires |journal= (help)
  164. ^ "Using Moore's Law to Predict Future Memory Trends". 2011-11-21. Retrieved 2013-12-02.
  165. ^ Myhrvold, Nathan (June 7, 2006). "Moore's Law Corollary: Pixel Power". The New York Times. Retrieved 2011-11-27.
  166. ^ Kennedy, Randall C. (2008-04-14). "Fat, fatter, fattest: Microsoft's kings of bloat". InfoWorld. Retrieved 2011-08-22.
  167. ^ Rider (1944). The Scholar and the Future of the Research Library. New York City: Hadham Press.
  168. ^ Life 2.0. (August 31, 2006). The Economist
  169. ^ Carlson, Robert H. (2010). "Biology Is Technology: The Promise, Peril, and New Business of Engineering Life". Cambridge, MA: Harvard UP. {{cite journal}}: Cite journal requires |journal= (help)
  170. ^ Carlson, Robert (September 2003). "The Pace and Proliferation of Biological Technologies". Biosecurity and Bioterrorism: Biodefense Strategy, Practice, and Science. 1 (3): 203–214. doi:10.1089/153871303769201851. PMID 15040198. S2CID 18913248.
  171. ^ Ebbinghaus, Hermann (1913). Memory: A Contribution to Experimental Psychology. Columbia University. p. 42, Figure 2. ISBN 9780722229286.
  172. ^ Hall, Granville Stanley; Titchene, Edward Bradford (1903). "The American Journal of Psychology".
  173. ^ Wright, T.P., Factors Affecting the Cost of Airplanes, Journal of Aeronautical Sciences, 3(4) (1936): 122-128.
  174. ^ Cherry, Steven (2004). "Edholm's law of bandwidth". IEEE Spectrum. 41 (7): 58–60. doi:10.1109/MSPEC.2004.1309810. S2CID 27580722.
  175. ^ Jindal, R. P. (2009). "From millibits to terabits per second and beyond - Over 60 years of innovation". 2009 2nd International Workshop on Electron Devices and Semiconductor Technology: 1–6. doi:10.1109/EDST.2009.5166093. ISBN 978-1-4244-3831-0. S2CID 25112828.

Further reading

  • Brock, David C. (ed.) (2006). Understanding Moore's Law: Four Decades of Innovation. Philadelphia: Chemical Heritage Foundation. ISBN 0-941901-41-6. OCLC 66463488.
  • Mody, Cyrus (2016). The Long Arm of Moore's law: Microelectronics and American Science. Cambridge, Mass.: The MIT Press. ISBN 978-0262035491.
  • Thackray, Arnold; David C. Brock, and Rachel Jones (2015). Moore's Law: The Life of Gordon Moore, Silicon Valley's Quiet Revolutionary. New York: Basic Books.
  • Tuomi, Ilkka (2002). The lives and death of Moore's Law. First Monday, 7(11), November 2002. https://doi.org/10.5210/fm.v7i11.1000
Which term describes a model for growth in the computer industry that states that processor speeds or overall processing power for computers will double every two years?

  • Intel press kit – released for Moore's Law's 40th anniversary, with a 1965 sketch by Moore
  • No Technology has been more disruptive... Slide show of microchip growth
  • Intel (IA-32) CPU speeds 1994–2005 – speed increases in recent years have seemed to slow down with regard to percentage increase per year (available in PDF or PNG format)
  • International Technology Roadmap for Semiconductors (ITRS)
  • A C|net FAQ about Moore's Law at archive.today (archived 2013-01-02)
  • ASML's 'Our Stories', Gordon Moore about Moore's Law, ASML Holding

Retrieved from "https://en.wikipedia.org/w/index.php?title=Moore%27s_law&oldid=1124325639"


Page 2

MOSFET technology node

In semiconductor manufacturing, the International Technology Roadmap for Semiconductors defines the 7 nm process as the MOSFET technology node following the 10 nm node. It is based on FinFET (fin field-effect transistor) technology, a type of multi-gate MOSFET technology.

Taiwan Semiconductor Manufacturing Company (TSMC) began production of 256 Mbit SRAM memory chips using a 7 nm process called N7 in June 2016,[1] before Samsung began mass production of their 7 nm process called 7LPP devices in 2018.[2] The first mainstream 7 nm mobile processor intended for mass market use, the Apple A12 Bionic, was released at Apple's September 2018 event.[3] Although Huawei announced its own 7 nm processor before the Apple A12 Bionic, the Kirin 980 on August 31, 2018, the Apple A12 Bionic was released for public, mass market use to consumers before the Kirin 980. Both chips are manufactured by TSMC.[4]

AMD has released their "Rome" (EPYC 2) processors for servers and datacenters, which are based on TSMC's N7 node[5] and feature up to 64 cores and 128 threads. They have also released their "Matisse" consumer desktop processors with up to 16 cores and 32 threads. However, the I/O die on the Rome multi-chip module (MCM) is fabricated with the GlobalFoundries' 14 nm (14HP) process, while the Matisse's I/O die uses the GlobalFoundries' 12 nm (12LP+) process. The Radeon RX 5000 series is also based on TSMC's N7 process.

Since 2009, however, "node" has become a commercial name for marketing purposes[6] that indicates new generations of process technologies, without any relation to gate length, metal pitch or gate pitch.[7][8][9] TSMC and Samsung's 10 nm (10 LPE) processes are somewhere between Intel's 14 nm and 10 nm processes in transistor density.

History

Technology demos

7 nm scale MOSFETs were first demonstrated by researchers in the early 2000s. In 2002, an IBM research team including Bruce Doris, Omer Dokumaci, Meikei Ieong and Anda Mocuta fabricated a 6 nm silicon-on-insulator (SOI) MOSFET.[10][11] In 2003, NEC's research team led by Hitoshi Wakabayashi and Shigeharu Yamagami fabricated a 5 nm MOSFET.[12][13]

In July 2015, IBM announced that they had built the first functional transistors with 7 nm technology, using a silicon-germanium process.[14][15][16][17]

In June 2016, TSMC had produced 256 Mbit SRAM memory cells at their 7 nm process,[1] with a cell area of 0.027 square micrometers (550 F2)[spelling?] with reasonable risk production yields.[18]

Expected commercialization and technologies

In April 2016, TSMC announced that 7 nm trial production would begin in the first half of 2017.[19] In April 2017, TSMC began risk production of 256 Mbit SRAM memory chips using a 7 nm (N7FF+) process,[1] with extreme ultraviolet lithography (EUV).[20] TSMC's 7 nm production plans, as of early 2017, were to use deep ultraviolet (DUV) immersion lithography initially on this process node (N7FF), and transition from risk to commercial volume manufacturing from Q2 2017 to Q2 2018. Also, their later generation 7 nm (N7FF+) production is planned to use EUV multiple patterning and to have an estimated transition from risk to volume manufacturing between 2018 and 2019.[21]

In September 2016, GlobalFoundries announced trial production in the second half of 2017 and risk production in early 2018, with test chips already running.[22]

In February 2017, Intel announced Fab 42 in Chandler, Arizona will produce microprocessors using 7 nm (Intel 4[23]) manufacturing process.[24] The company has not published any expected values for feature lengths at this process node.

In April 2018, TSMC announced volume production of 7 nm (CLN7FF, N7) chips. In June 2018, the company announced mass production ramp up.[2]

In May 2018, Samsung announced production of 7 nm (7LPP) chips this year. ASML Holding NV is their main supplier of EUV lithography machines.[25]

In August 2018, GlobalFoundries announced it was stopping development of 7 nm chips, citing cost.[26]

On October 28, 2018, Samsung announced their second generation 7 nm process (7LPP) had entered risk production and should enter mass production in 2019.

On January 17, 2019, for the Q4 2018 earnings call, TSMC mentioned that different customers will have "different flavors" of second generation 7 nm.[27]

On April 16, 2019, TSMC announced their 6 nm process called (CLN6FF, N6), which is expected to be in mass products from 2021.[28] N6 uses EUVL in up to 5 layers, compared to up to 4 layers in their N7+ process.[29]

On July 28, 2019, TSMC announced their second gen 7 nm process called N7P, which is DUV-based like their N7 process.[30] Since N7P is fully IP-compatible with the original 7 nm, while N7+ (which uses EUV) is not, N7+ (announced earlier as '7 nm+') is a separate process from '7 nm'. N6 ('6 nm'), another EUV-based process, is planned to be released later than even TSMC's 5 nm (N5) process, with the IP-compatibility with N7. At their Q1 2019 earnings call, TSMC reiterated their Q4 2018 statement[27] that N7+ would generate less than $1 billion TWD in revenue in 2019.[31]

On October 5, 2019, AMD announced their EPYC Roadmap, featuring Milan chips built using TSMC's N7+ process.[32]

On October 7, 2019, TSMC announced they started delivering N7+ products to market in high volume.[33]

On July 26, 2021, Intel announced their new manufacturing roadmap, renaming all of their future process nodes.[23] Intel's 10 nm Enhanced SuperFin (10ESF), which is roughly equivalent to TSMC's N7 process, would now be known as Intel 7, while their earlier 7 nm process would now be called Intel 4.[23][34] This means that their first processors based on the new 7 nm would start shipping by the second half of 2022. Intel earlier announced that they would launch 7 nm processors in 2023.[35]

Technology commercialization

In June 2018, AMD announced 7 nm Radeon Instinct GPUs launching in the second half of 2018.[36] In August 2018, the company confirmed the release of the GPUs.[37]

On August 21, 2018, Huawei announced their HiSilicon Kirin 980 SoC to be used in their Huawei Mate 20 and Mate 20 Pro built using TSMC's 7 nm (N7) process.

On September 12, 2018, Apple announced their A12 Bionic chip used in iPhone XS and iPhone XR built using TSMC's 7 nm (N7) process. The A12 processor became the first 7 nm chip for mass market use as it released before the Huawei Mate 20.[38][39] On October 30, 2018, Apple announced their A12X Bionic chip used in iPad Pro built using TSMC's 7 nm (N7) process.[40]

On December 4, 2018, Qualcomm announced their Snapdragon 855 and 8cx built using TSMC's 7 nm (N7) process.[41] The first mass product featuring the Snapdragon 855 was the Lenovo Z5 Pro GT, which was announced on December 18, 2018.[42]

On May 29, 2019, MediaTek announced their 5G SoC built using a TSMC 7 nm process.[43]

On July 7, 2019, AMD officially launched their Ryzen 3000 series of central processing units, based on the TSMC 7 nm process and Zen 2 microarchitecture.

On August 6, 2019, Samsung announced their Exynos 9825 SoC, the first chip built using their 7LPP process. The Exynos 9825 is the first mass market chip built featuring EUVL.[44]

On September 6, 2019, Huawei announced their HiSilicon Kirin 990 4G & 990 5G SoCs, built using TSMC's N7 and N7+ processes.[45]

On September 10, 2019, Apple announced their A13 Bionic chip used in iPhone 11 and iPhone 11 Pro built using TSMC's 2nd gen N7P process.[46]

7 nm (N7 nodes) manufacturing made up 36% of TSMC's revenue in the second quarter of 2020.[47]

On August 17, 2020, IBM announced their Power10 processor.[46]

On July 26, 2021, Intel announced that their Alder Lake processors would be manufactured using their newly rebranded Intel 7 process, previously known as 10 nm Enhanced SuperFin.[23] These processors will be released in the second half of 2021. The company earlier confirmed a 7 nm, now called Intel 4,[23] microprocessor family called Meteor Lake to be released in 2023.[48][49]

7 nm patterning difficulties

Which term describes a model for growth in the computer industry that states that processor speeds or overall processing power for computers will double every two years?

Pitch splitting issues. Successive litho-etch patterning is subject to overlay errors as well as the CD errors from different exposures.

Which term describes a model for growth in the computer industry that states that processor speeds or overall processing power for computers will double every two years?

Spacer patterning issues. Spacer patterning has excellent CD control for features directly patterned by the spacer, but the spaces between spacers may be split into core and gap populations.

Which term describes a model for growth in the computer industry that states that processor speeds or overall processing power for computers will double every two years?

Overlay error impact on line cut. An overlay error on a cut hole exposure could distort the line ends (top) or infringe on an adjacent line (bottom).

Which term describes a model for growth in the computer industry that states that processor speeds or overall processing power for computers will double every two years?

Two-bar EUV patterning issues. In EUV lithography, a pair of features may not have both features in focus at the same time; one will have different size from the other, and both will shift differently through focus as well.

Which term describes a model for growth in the computer industry that states that processor speeds or overall processing power for computers will double every two years?

7 nm EUV stochastic failure probability. 7 nm features are expected to approach ~20 nm width. The probability of EUV stochastic failure is measurably high for the commonly applied dose of 30 mJ/cm2.

The 7 nm foundry node is expected to utilize any of or a combination of the following patterning technologies: pitch splitting, self-aligned patterning, and EUV lithography. Each of these technologies carries significant challenges in critical dimension (CD) control as well as pattern placement, all involving neighboring features.

Pitch splitting

Pitch splitting involves splitting features that are too close together onto different masks, which are exposed successively, followed by litho-etch processing. Due to the use of different exposures, there is always the risk of overlay error between the two exposures, as well as different CDs resulting from the different exposures.

Spacer patterning

Spacer patterning involves depositing a layer onto pre-patterned features, then etching back to form spacers on the sidewalls of those features, referred to as core features. After removing the core features, the spacers are used as an etch mask to define trenches in the underlying layer. While the spacer CD control is generally excellent, the trench CD may fall into one of two populations, due to the two possibilities of being located where a core feature was located or in the remaining gap. This is known as 'pitch walking'.[50] Generally pitch = core CD + gap CD + 2 * spacer CD, but this does not guarantee core CD = gap CD. For FEOL features like gate or active area isolation (e.g., fins), the trench CD is not as critical as the spacer-defined CD, in which case, spacer patterning is actually the preferred patterning approach.

When self-aligned quadruple patterning (SAQP) is used, there is a second spacer that is utilized, replacing the first one. In this case, the core CD is replaced by core CD - 2* 2nd spacer CD, and the gap CD is replaced by gap CD - 2 * 2nd spacer CD. Thus, some feature dimensions are strictly defined by the second spacer CD, while the remaining feature dimensions are defined by the core CD, core pitch, and first and second spacer CD's. The core CD and core pitch are defined by conventional lithography, while the spacer CDs are independent of lithography. This is actually expected to have less variation than pitch splitting, where an additional exposure defines its own CD, both directly and through overlay.

Spacer-defined lines also require cutting. The cut spots may shift at exposure, resulting in distorted line ends or intrusions into adjacent lines.

Self-aligned litho-etch-litho-etch (SALELE) has been implemented for 7 nm BEOL patterning.[51]

EUV lithography

Extreme ultraviolet lithography (also known as EUV or EUVL) is capable of resolving features below 20 nm in conventional lithography style. However, the 3D reflective nature of the EUV mask results in new anomalies in the imaging. One particular nuisance is the two-bar effect, where a pair of identical bar-shaped features do not focus identically. One feature is essentially in the 'shadow' of the other. Consequently, the two features generally have different CDs which change through focus, and these features also shift position through focus.[52][53][54] This effect may be similar to what may be encountered with pitch splitting. A related issue is the difference of best focus among features of different pitches.[55]

EUV also has issues with reliably printing all features in a large population; some contacts may be completely missing or lines bridged. These are known as stochastic printing failures.[56][57] The defect level is on the order of 1K/mm2.[58]

The tip-to-tip gap is hard to control for EUV, largely due to the illumination constraint.[59] A separate exposure(s) for cutting lines is preferred.

Attenuated phase shift masks have been used in production for 90 nm node for adequate focus windows for arbitrarily pitched contacts with the ArF laser wavelength (193 nm),[60][61] whereas this resolution enhancement is not available for EUV.[62][63]

At 2021 SPIE's EUV Lithography conference, it was reported by a TSMC customer that EUV contact yield was comparable to immersion multipatterning yield.[64]

Comparison with previous nodes

Due to these challenges, 7 nm poses unprecedented patterning difficulty in the back end of line (BEOL). The previous high-volume, long-lived foundry node (Samsung 10 nm, TSMC 16 nm) used pitch splitting for the tighter pitch metal layers.[65][66][67]

Cycle time: immersion vs. EUV

Process Immersion (≥ 275 WPH)[68] EUV (1500 wafers/day)[69]
Single-patterned layer:
1 day completion by immersion
6000 wafers/day 1500 wafers/day
Double-patterned layer:
2 days completion by immersion
6000 wafers/2 days 3000 wafers/2 days
Triple-patterned layer:
3 days completion by immersion
6000 wafers/3 days 4500 wafers/3 days
Quad-patterned layer:
4 days completion by immersion
6000 wafers/4 days 6000 wafers/4 days

Due to the immersion tools being faster presently, multipatterning is still used on most layers. On the layers requiring immersion quad-patterning, the layer completion throughput by EUV is comparable. On the other layers, immersion would be more productive at completing the layer even with multipatterning.

7 nm design rule management in volume production

The 7 nm metal patterning currently practiced by TSMC involves self-aligned double patterning (SADP) lines with cuts inserted within a cell on a separate mask as needed to reduce cell height.[70] However, self-aligned quad patterning (SAQP) is used to form the fin, the most important factor to performance.[71] Design rule checks also allow via multi-patterning to be avoided, and provide enough clearances for cuts that only one cut mask is needed.[71]

7 nm process nodes and process offerings

The naming of process nodes by 4 different manufacturers (TSMC, Samsung, SMIC, Intel) is partially marketing-driven and not directly related to any measurable distance on a chip – for example TSMC's 7 nm node was previously similar in some key dimensions to Intel's planned first-iteration 10 nm node, before Intel released further iterations, culminating in "10nm Enhanced SuperFin", which was later renamed to "Intel 7" for marketing reasons.[72][73]

Since EUV implementation at 7 nm is still limited, multipatterning still plays an important part in cost and yield; EUV adds extra considerations. The resolution for most critical layers is still determined by multiple patterning. For example, for Samsung's 7 nm, even with EUV single-patterned 36 nm pitch layers, 44 nm pitch layers would still be quadruple patterned.[74]

7 nm process nodes and process offerings
Samsung TSMC Intel SMIC
Process name 7LPP[75][76] 6LPP[77] N7[78] N7P[30] N7+[79] N6 Intel 7[23] N+1 (>7 nm) N+2 (7 nm) 7 nm EUV
Transistor density (MTr/mm2) 95.08–100.59[80][81] 112.79 91.2–96.5[82][83] 113.9[82] 114.2[28] 100.76–106.1[84][85] Un­known Un­known Un­known
SRAM bit-cell size 0.0262 μm2[86] Un­known 0.027 μm2[86] Un­known Un­known 0.0312 μm2 Un­known Un­known Un­known
Transistor gate pitch 54 nm Un­known 54 nm Un­known Un­known 54 nm Un­known Un­known Un­known
Transistor fin pitch 27 nm Un­known N/A Un­known Un­known 34 nm Un­known Un­known Un­known
Transistor fin height Un­known Un­known N/A Un­known Un­known 53 nm Un­known Un­known Un­known
Minimum (metal) pitch 46 nm Un­known 40 nm < 40 nm Un­known 30 nm[87] Un­known Un­known Un­known
EUV implementation 36 nm pitch metal;[74]
20% of total layer set
Un­known None, used self-aligned quad patterning (SAQP) instead 4 layers 5 layers None. Relied on SAQP heavily None None Yes (after N+2)
EUV-limited wafer output 1500 wafers/day[69] Un­known N/A ~ 1000 wafers/day[88] Un­known N/A Un­known Un­known Un­known
Multipatterning
(≥ 2 masks on a layer)
Fins
Gate
Vias (double-patterned)[89]
Metal 1 (triple-patterned)[89]
44 nm pitch metal (quad-patterned)[74]
Un­known Fins
Gate
Contacts/vias (quad-patterned)[90]
Lowest 10 metal layers
Same as N7, with reduction on 4 EUV layers Same as N7, with reduction on 5 EUV layers multipatterning with DUV multipatterning with DUV Un­known
Release status 2018 risk production
2019 production
2020 production 2017 risk production
2018 production[1]
2019 production 2018 risk production[1]
2019 production
2020 risk production
2020 production
2021 production[23] April 2021 risk production, mass production unknown Late 2021 risk production, quietly produced since July 2021[91] Postponed due to US embargo

GlobalFoundries' 7 nm 7LP (Leading Performance) process would have offered 40% higher performance or 60%+ lower power with a 2x scaling in density and at a 30-45+% lower cost per die over its 14 nm process. The Contacted Poly Pitch (CPP) would have been 56 nm and the Minimum Metal Pitch (MMP) would have been 40 nm, produced with Self-Aligned Double Patterning (SADP). A 6T SRAM cell would have been 0.269 square microns in size. GlobalFoundries planned to eventually use EUV lithography in an improved process called 7LP+.[92] GlobalFoundries later stopped all 7 nm and beyond process development.[93]

Intel's new "Intel 7" process, previously known as 10 nm Enhanced SuperFin (10ESF), is based on its previous 10 nm node. The node will feature a 10-15% increase in performance per watt. Meanwhile, their old 7 nm process, now called "Intel 4", is expected to be released in 2023.[94] Few details about the Intel 4 node have been made public, although its transistor density has been estimated to be at least 202 million transistors per square millimeter.[23][95] As of 2020, Intel is experiencing problems with its Intel 4 process to the point of outsourcing production of its Ponte Vecchio GPUs.[96][97]

References

  1. ^ a b c d e "7nm Technology". TSMC. Retrieved June 30, 2019.
  2. ^ a b Chen, Monica; Shen, Jessie (June 22, 2018). "TSMC ramping up 7nm chip production". DigiTimes. Retrieved September 17, 2022.
  3. ^ Shankland, Stephen (September 12, 2018). "Apple's A12 Bionic CPU for the new iPhone XS is ahead of the industry moving to 7nm chip manufacturing tech". CNET. Retrieved September 16, 2018.
  4. ^ Summers, N. (September 12, 2018). "Apple's A12 Bionic is the first 7-nanometer smartphone chip". Engadget. Retrieved September 20, 2018.
  5. ^ Smith, Ryan (July 26, 2018). "AMD "Rome" EPYC CPUs to Be Fabbed By TSMC". AnandTech. Retrieved June 18, 2019.
  6. ^ Morris, Kevin (July 23, 2020). "No More Nanometers: It's Time for New Node Naming". Electronic Engineering Journal. Retrieved September 17, 2022.
  7. ^ Shukla, Priyank. "A Brief History of Process Node Evolution". Design-Reuse. Retrieved July 9, 2019.
  8. ^ Hruska, Joel (June 23, 2014). "14nm, 7nm, 5nm: How low can CMOS go? It depends if you ask the engineers or the economists…". ExtremeTech. Retrieved September 17, 2022.
  9. ^ Pirzada, Usman (September 16, 2016). "Exclusive: Is Intel Really Starting To Lose Its Process Lead? 7nm Node Slated For Release in 2022". Wccftech. Retrieved September 17, 2022.
  10. ^ "IBM claims world's smallest silicon transistor - TheINQUIRER". Theinquirer.net. December 9, 2002. Archived from the original on May 31, 2011. Retrieved December 7, 2017.
  11. ^ Doris, Bruce B.; Dokumaci, Omer H.; Ieong, Meikei K.; Mocuta, Anda; Zhang, Ying; Kanarsky, Thomas S.; Roy, R. A. (December 2002). "Extreme scaling with ultra-thin Si channel MOSFETs". Digest. International Electron Devices Meeting: 267–270. doi:10.1109/IEDM.2002.1175829. ISBN 0-7803-7462-2. S2CID 10151651.
  12. ^ "NEC test-produces world's smallest transistor". The Free Library. Retrieved December 7, 2017.
  13. ^ Wakabayashi, Hitoshi; Yamagami, Shigeharu; Ikezawa, Nobuyuki; Ogura, Atsushi; Narihiro, Mitsuru; Arai, K.; Ochiai, Y.; Takeuchi, K.; Yamamoto, T.; Mogami, T. (December 2003). "Sub-10-nm planar-bulk-CMOS devices using lateral junction control". IEEE International Electron Devices Meeting 2003: 20.7.1–20.7.3. doi:10.1109/IEDM.2003.1269446. ISBN 0-7803-7872-5. S2CID 2100267.
  14. ^ Dignan, Larry. "IBM Research builds functional 7nm processor". ZDNet.
  15. ^ Markoff, John (July 9, 2015). "IBM Discloses Working Version of a Much Higher-Capacity Chip". The New York Times.
  16. ^ "Beyond silicon: IBM unveils world's first 7nm chip – Ars Technica". arstechnica.com.
  17. ^ "Seven Advancements for Beyond 7nm Chips". IBM Research Blog. February 27, 2017.
  18. ^ Chang, J.; Chen, Y.; Chan, W.; Singh, S. P.; Cheng, H.; Fujiwara, H.; Lin, J.; Lin, K.; Hung, J.; Lee, R.; Liao, H. (February 2017). "12.1 A 7nm 256Mb SRAM in high-k metal-gate FinFET technology with write-assist circuitry for low-VMIN applications". 2017 IEEE International Solid-State Circuits Conference (ISSCC): 206–207. doi:10.1109/ISSCC.2017.7870333. S2CID 19930825.
  19. ^ Parish, Kevin (April 20, 2016). "Watch out Intel and Samsung: TSMC is gearing up for 7 nm processing with trial production". Digital Trends. Retrieved September 17, 2022.
  20. ^ "TSMC Tips 7+, 12, 22nm Nodes | EE Times". EETimes. Retrieved March 17, 2017.
  21. ^ Shilov, Anton (May 5, 2017). "Samsung and TSMC Roadmaps: 8 and 6 nm Added, Looking at 22ULP and 12FFC". AnandTech. Retrieved September 17, 2022.
  22. ^ "GLOBALFOUNDRIES to Deliver Industry's Leading-Performance Offering of 7 nm FinFET Technology". GlobalFoundries (Press release). September 15, 2016. Retrieved April 8, 2017.
  23. ^ a b c d e f g h Cutress, Dr Ian. "Intel's Process Roadmap to 2025: with 4nm, 3nm, 20A and 18A?!". www.anandtech.com. Retrieved July 27, 2021.
  24. ^ "Intel Supports American Innovation with $7 Billion Investment in Next-Generation Semiconductor Factory in Arizona". Intel Newsroom. February 8, 2017. Retrieved September 17, 2022.
  25. ^ King, Ian (May 22, 2018). "Samsung Says New 7-Nanometer Chip Production Starting This Year". Bloomberg. Retrieved September 17, 2022.
  26. ^ Dent, Steve (August 28, 2018). "Major AMD chip supplier will no longer make next-gen chips". Engadget. Retrieved September 17, 2022.
  27. ^ a b Q4 2018 TSMC earnings call transcript, January 17, 2019.
  28. ^ a b Schor, David (April 16, 2019). "TSMC Announces 6-Nanometer Process". WikiChip Fuse. Retrieved May 31, 2019.
  29. ^ Shilov, Anton (May 1, 2019). "TSMC: Most 7nm Clients Will Transition to 6nm". AnandTech. Retrieved May 31, 2019.
  30. ^ a b Schor, David (July 28, 2019). "TSMC Talks 7nm, 5nm, Yield, And Next-Gen 5G And HPC Packaging". WikiChip Fuse. Retrieved September 13, 2019.
  31. ^ C. C. Wei, TSMC Q1 2019 earnings call (April 18) transcript.
  32. ^ Alcorn, Paul (October 5, 2019). "AMD Dishes on Zen 3 and Zen 4 Architecture, Milan and Genoa Roadmap". Tom's Hardware. Retrieved October 8, 2019.
  33. ^ "TSMC's N7+ Technology is First EUV Process Delivering Customer Products to Market in High Volume | Planet 3DNow!" (in German). Retrieved October 8, 2019.
  34. ^ "Accelerating Process Innovation" (PDF). Intel. July 26, 2021.
  35. ^ Jones, Ryan (March 27, 2021). "Ctrl+Alt+Delete: Why you should be excited for Intel's 7nm processor". Trusted Reviews. Retrieved March 30, 2021.
  36. ^ "Pushing Boundaries for CPUs and GPUs, AMD Shows Next-Generation of Ryzen, Radeon and EPYC Product Leadership at Computex 2018" (Press release). June 5, 2018.
  37. ^ Martin, Dylan (August 23, 2018). "AMD CTO: 'We Went All In' On 7nm CPUs". CRN. Retrieved September 17, 2022.
  38. ^ "Apple Announces 'iPhone Xs' and 'iPhone Xs Max' With Gold Color, Faster Face ID, and More".
  39. ^ Freedman, Andrew E. (September 12, 2018). "Apple Introduces 7nm A12 Bionic CPU for iPhone XS". Tom's Hardware. Retrieved September 12, 2018.
  40. ^ Axon, Samuel (November 7, 2018). "Apple walks Ars through the iPad Pro's A12X system on a chip". Ars Technica. Retrieved November 18, 2018.
  41. ^ Cutress, Ian (December 4, 2018). "Qualcomm Tech Summit, Day 1: Announcing 5G Partnerships and Snapdragon 855". AnandTech. Retrieved May 31, 2019.
  42. ^ Frumusanu, Andrei (December 18, 2018). "Lenovo First to a Snapdragon 855 Phone with Announcement of Z5 Pro GT". AnandTech. Retrieved May 31, 2019.
  43. ^ "MediaTek 5G". MediaTek. Retrieved May 31, 2019.
  44. ^ Siddiqui, Aamir (August 7, 2019). "Samsung announces Exynos 9825 prior to Galaxy Note 10 launch". XDA-Developers. Retrieved September 13, 2019.
  45. ^ Cutress, Ian. "Huawei Announces Kirin 990 and Kirin 990 5G: Dual SoC Approach, Integrated 5G Modem". AnandTech. Retrieved September 13, 2019.
  46. ^ a b "IBM Reveals Next-Generation IBM POWER10 Processor". IBM Newsroom. August 17, 2020. Retrieved August 17, 2020.
  47. ^ "TSMC Plots an Aggressive Course for 3nm Lithography and Beyond - ExtremeTech".
  48. ^ "Intel CEO Announces 'IDM 2.0' Strategy for Manufacturing, Innovation". Intel Newsroom. March 23, 2021. Retrieved September 17, 2022.
  49. ^ "Intel Unleashed: Engineering the Future (Replay)". Intel Newsroom. March 23, 2021. Retrieved September 17, 2022.
  50. ^ M. J. Maslow et al., Proc. SPIE 10587, 1058704 (2018).
  51. ^ SALELE Double Patterning for 7nm and 5nm Nodes
  52. ^ "IMEC EUVL 2018 Workshop" (PDF).
  53. ^ Y. Nakajima et al., EUVL Symposium 2007, Sapporo.
  54. ^ L. de Winter et al., Proc. SPIE 9661, 96610A (2015).
  55. ^ M. Burkhardt and A. Raghunathan, Proc. SPIE 9422, 94220X (2015).
  56. ^ P. De Bisschop and E. Hendrickx, Proc. SPIE 10583, 105831K (2018).
  57. ^ "EUV's Stochastic Valley of Death". linkedin.com.
  58. ^ S. Larivière et al., Proc. SPIE 10583, 105830U (2018).
  59. ^ E. van Setten et al., Proc. SPIE 9661. 96610G (2015).
  60. ^ C-H. Chang et al., Proc. SPIE 5377, 902 (2004).
  61. ^ T. Devoivre et al., MTDT 2002.
  62. ^ S-S. Yu et al., Proc. SPIE 8679, 86791L (2013).
  63. ^ A. Erdmann et al., Proc. SPIE 10583, 1058312 (2018).
  64. ^ Qi Li et al., Proc. SPIE 11609, 116090V (2021).
  65. ^ Jeong, W. C.; Ahn, J. H.; Bang, Y. S.; Yoon, Y. S.; Choi, J. Y.; Kim, Y. C.; Paek, S. W.; Ahn, S. W.; Kim, B. S.; Song, T. J.; Jung, J. H.; Do, J. H.; Lim, S. M.; Cho, H.-; Lee, J. H.; Kim, D. W.; Kang, S. B.; Ku, J.-; Kwon, S. D.; Jung, S.-; Yoon, J. S. (June 23, 2017). "10nm 2nd generation BEOL technology with optimized illumination and LELELELE". 2017 Symposium on VLSI Technology. pp. T144–T145. doi:10.23919/VLSIT.2017.7998156. ISBN 978-4-86348-605-8. S2CID 43207918 – via IEEE Xplore.
  66. ^ "TSMC Symposium: "10nm is Ready for Design Starts at This Moment" - Industry Insights - Cadence Blogs - Cadence Community". community.cadence.com.
  67. ^ Wu, S.; Lin, C. Y.; Chiang, M. C.; Liaw, J. J.; Cheng, J. Y.; Yang, S. H.; Liang, M.; Miyashita, T.; Tsai, C. H.; Hsu, B. C.; Chen, H. Y.; Yamamoto, T.; Chang, S. Y.; Chang, V. S.; Chang, C. H.; Chen, J. H.; Chen, H. F.; Ting, K. C.; Wu, Y. K.; Pan, K. H.; Tsui, R. F.; Yao, C. H.; Chang, P. R.; Lien, H. M.; Lee, T. L.; Lee, H. M.; Chang, W.; Chang, T.; Chen, R.; Yeh, M.; Chen, C. C.; Chiu, Y. H.; Chen, Y. H.; Huang, H. C.; Lu, Y. C.; Chang, C. W.; Tsai, M. H.; Liu, C. C.; Chen, K. S.; Kuo, C. C.; Lin, H. T.; Jang, S. M.; Ku, Y. (December 23, 2013). "A 16nm FinFET CMOS technology for mobile SoC and computing applications". 2013 IEEE International Electron Devices Meeting. pp. 9.1.1–9.1.4. doi:10.1109/IEDM.2013.6724591. ISBN 978-1-4799-2306-9 – via IEEE Xplore.
  68. ^ "Products & services - Supplying the semiconductor industry". asml.com.
  69. ^ a b "Samsung Ramps 7nm EUV Chips". EETimes. October 17, 2018.
  70. ^ "7 nm lithography process - WikiChip".
  71. ^ a b "A Heuristic Approach to Fix Design Rule Check (DRC) Violations in ASIC Designs @7nm FinFET Technology". Design And Reuse.
  72. ^ Merrit, Rick (January 16, 2017). "15 Views from a Silicon Summit". EETimes. Retrieved September 16, 2022.
  73. ^ Hill, Brandon (March 28, 2017). "Intel Details Cannonlake's Advanced 10nm FinFET Node, Claims Full Generation Lead Over Rivals". HotHardware. Archived from the original on June 12, 2018. Retrieved August 30, 2018.
  74. ^ a b c J. Kim et al., Proc. SPIE 10962, 1096204 (2019).
  75. ^ "VLSI 2018: Samsung's 2nd Gen 7nm, EUV Goes HVM". WikiChip. August 4, 2018. Retrieved September 16, 2022.
  76. ^ "Samsung Electronics Starts Production of EUV-based 7nm LPP Process". Samsung Newsroom. October 18, 2018. Retrieved September 16, 2022.
  77. ^ "Samsung Starts Mass Production at V1: A Dedicated EUV Fab for 7nm, 6nm, 5nm, 4nm, 3nm Nodes".
  78. ^ IEDM 2016
  79. ^ "TSMC Goes Photon to Cloud". EETimes. October 4, 2018.
  80. ^ "Can TSMC Maintain Their Process Technology Lead".
  81. ^ "Samsung 3nm GAAFET Enters Risk Production; Discusses Next-Gen Improvements". July 5, 2022.
  82. ^ a b Jones, Scotten (May 3, 2019). "TSMC and Samsung 5nm Comparison". Semiwiki. Retrieved July 30, 2019.
  83. ^ "N3E Replaces N3; Comes in Many Flavors". September 4, 2022.
  84. ^ Jones, Scotten, Can TSMC Maintain Their Process Technology Lead
  85. ^ "Intel's Process Roadmap to 2025: With 4nm, 3nm, 20A and 18A?!".
  86. ^ a b "VLSI 2018: Samsung's 2nd Gen 7nm, EUV Goes HVM". WikiChip Fuse. August 4, 2018. Retrieved May 31, 2019.
  87. ^ Smith, Ryan (June 13, 2022). "Intel 4 Process Node In Detail: 2x Density Scaling, 20% Improved Performance". AnandTech. Retrieved September 17, 2022.
  88. ^ "TSMC Q1 2018 earnings call transcript, p.12" (PDF). Archived from the original (PDF) on October 14, 2018. Retrieved October 14, 2018.
  89. ^ a b W. C. Jeong et al., VLSI Technology 2017.
  90. ^ Dillinger, Tom (March 23, 2017). "Top 10 Updates from the TSMC Technology Symposium, Part II". SemiWiki. Retrieved September 16, 2022.
  91. ^ Paul Alcorn (July 21, 2022). "China's SMIC Shipping 7nm Chips, Reportedly Copied TSMC's Tech". Tom's Hardware.
  92. ^ Jones, Scotten (July 8, 2017). "Exclusive - GLOBALFOUNDRIES discloses 7nm process detail". SemiWiki. Retrieved September 16, 2022.
  93. ^ Shilov, Anton; Cutress, Ian (August 27, 2018). "GlobalFoundries Stops All 7nm Development: Opts To Focus on Specialized Processes". AnandTech. Retrieved July 27, 2021.
  94. ^ "Intel: Sorry, But Our 7nm Chips Will Be Delayed to 2022, 2023". PCMAG. Retrieved July 27, 2021.
  95. ^ "7 nm lithography process - WikiChip".
  96. ^ "Intel's 7nm Process Six Months Behind Schedule - News".
  97. ^ "As 7nm schedule continues slipping, Intel contemplates 3rd-party fabs". July 24, 2020.

  • 7 nm lithography process
Preceded by
10 nm
MOSFET semiconductor device fabrication process Succeeded by
5 nm

Retrieved from "https://en.wikipedia.org/w/index.php?title=7_nm_process&oldid=1122861530"